• No results found

Vakcode5A050,17november2004,9:00u-12:00u Tentamen“Schakeltechniek” FaculteitElektrotechniek-LeerstoelES

N/A
N/A
Protected

Academic year: 2021

Share "Vakcode5A050,17november2004,9:00u-12:00u Tentamen“Schakeltechniek” FaculteitElektrotechniek-LeerstoelES"

Copied!
7
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

Faculteit Elektrotechniek - Leerstoel ES

Tentamen “Schakeltechniek”

Vakcode 5A050, 17 november 2004, 9:00u-12:00u

achternaam : voorletters :

identiteitsnummer : opleiding :

Tijdens dit tentamen is het gebruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen in te leveren. Geef alleen antwoorden.

Alle verdere toevoegingen worden genegeerd. Het tentamen bestaat uit 8 opgaven en 7 bladzijden.

Opgave 1 – Getalsystemen

a. Gegeven een aantal decimale getallen. Geef de binaire codering voor deze getallen uitgaande van de gegeven getalrepresentatie voor het binaire getal. Gebruik in alle gevallen 8 bits.

signed magnitude twos complement +6

−6

−11

b. Converteer het gegeven decimale getal naar getallen in de gevraagde talstelsels.

decimaal binair octaal hexadecimaal

37

c. Converteer het gegeven binaire getal naar getallen in de gevraagde talstelsels.

binair octaal hexadecimaal

101111011100011 Opgave 2 – NANDs

Gegeven is de volgende functie: f = ac + ad + bc. Geef een implementatie bestaande uit slechts 2-input NAND poorten. Je mag ten hoogste 4 van deze poorten gebruiken. Neem hierbij aan dat je alle inputs alleen positief tot je beschikking hebt.

(2)

b. Teken een minimaal 2-level circuit voor functie f .

Gegeven is nu de informatie dat er altijd tenminste 2 van de 4 ingangen van het circuit hoog zullen zijn.

Bovendien is het zo dat a, c en d nooit alledrie hoog zullen zijn. We gaan deze informatie gebruiken om het circuit verder the optimaliseren.

c. Geef wederom een minimale som-van-producten expressie voor f , maar nu gebruik makend van bovenstaande informatie. Vul het Karnaugh diagram in en geef duidelijk aan welke priemimplicanten tot de expressies leiden.

2

(3)

Faculteit Elektrotechniek - Leerstoel ES

Tentamen “Schakeltechniek”

Vakcode 5A050, 17 november 2004, 9:00u-12:00u

achternaam : identiteitsnummer :

Opgave 4 – PLAs

Gegeven zijn drie Booleaanse functies f , g en h met variabelen a, b, c en d:

f = cd + b(ac + cd) + a(cd + cd) g = bc + acd + abcd + abc h = bcd + bcd + acd + bcd

Implementeer deze functies optimaal in onderstaand PLA; geef de in- en uitgangen correcte namen. Geef in de Karnaugh diagrammen duidelijk aan welke implicanten je voor welke functie implementeert; geef aan welke functie je in welk Karnaugh diagram optimaliseert.

(4)

gedetecteerd. De ‘begin’ en ‘eind’ codes in de input zullen elkaar netjes afwisselen; er zal dus nooit twee keer achter elkaar een ‘begin’ of twee keer achter elkaar een ‘eind’ optreden. Het begin en eind van data wordt op de c input gemarkeerd door een 11 combinatie. Als tegelijkertijd met de tweede 1 op c een 1 ontvangen wordt over het d kanaal, dan begint een reeks data; als tegelijkertijd met de tweede 1 op c een 0 ontvangen wordt op d, dan eindigt de data reeks. Een typisch input/output patroon (waarin de data reeks 1100011 gecodeerd is) is als volgt: d 000111000110010· · ·

c 001100100011000· · · o 000100000001000· · ·

a. Geef een Mealy-type toestandsdiagram voor het circuit met zo weinig mogelijk toestanden.

b. Ga er van uit dat de Mealy machine met behulp van D-flipflops gemaakt wordt. Geef een toestands- codering en vul de volgende waarheidstabel in.

toestand

4

(5)

Faculteit Elektrotechniek - Leerstoel ES

Tentamen “Schakeltechniek”

Vakcode 5A050, 17 november 2004, 9:00u-12:00u

achternaam : identiteitsnummer :

c. Ontwerp via Karnaugh diagrammen een minimale 2-level implementatie van de gevraagde Mealy machine. Geef zowel de Karnaugh diagrammen met priemimplicanten als de bijbehorende 2-level expressies.

d. Teken een minimale 2-level implementatie van de Mealy machine.

(6)

Geef een toestandsdiagram van deze machine. Ga er van uit dat de begintoestand willekeurig kan zijn.

Opgave 7 – Boolean algebra / multi-level optimalisatie

Beschouw functies f = abc + acd + bcd en g = bc + abd + ac + bc + ab. Geef een multi-level circuit met ingangen a, b, c en d en uitgangen f en g, dat bestaat uit ten hoogste 9 poorten waarbij slechts gebruik gemaakt wordt van 2-input OR en AND poorten. Ga er van uit dat je zowel a, b, c, d als a, b, c, d tot je beschikking hebt. Een oplossing van ten hoogste 7 2-input OR en AND poorten levert bonuspunten op.

6

(7)

Faculteit Elektrotechniek - Leerstoel ES

Tentamen “Schakeltechniek”

Vakcode 5A050, 17 november 2004, 9:00u-12:00u

achternaam : identiteitsnummer :

Opgave 8 – Geheugenelementen

We gaan een nieuw type geheugenelement ontwerpen. Het element heeft twee ingangen x en y. E´en van beide ingangen hoog komt overeen met ‘set’, beide hoog met ‘hold’ en beide laag met ‘toggle’.

a. Geef zowel een toestandsdiagram van het geheugenelement als de karakteristieke vergelijking.

Iemand constateert dat de ‘set’ functionaliteit overbodig is, en stelt voor het geheugenelement te ontwerpen met ´e´en ingang z die indien hoog overeen komt met ‘hold’ en indien laag met ‘toggle’. We kunnen dit geheugenelement natuurlijk zien als een Moore machine, en het derhalve implementeren met ons bekende geheugenelementen.

b. Geef implementaties van het vereenvoudigde geheugenelement gebruik makend van een D-flipflop (links) en van een T-flipflop (rechts).

Referenties

GERELATEERDE DOCUMENTEN

Ik begon nu te zien, dat dit de bedoeling is: Door het evangelie wordt de gerechtigheid Gods geopenbaard, namelijk de passieve, door welke ons de barmhartige God door het

Vul daarvoor het rechter Kar- naugh diagram in en geef duidelijk aan welke priemimplicanten tot deze expressie leiden.... Ga er van uit dat de Moore machine met behulp van

Ontwerp via Karnaugh diagrammen een minimale 2-level implementatie van de gevraagde Moore machine.. Geef zowel de Karnaugh diagrammen als de bijbehorende

- In signed magnitude / ones complement / twos complement hebben alle getallen een unieke representatie.. - De meest geschikte representatie voor aritmetische

(kwaliteit speciaal en voortgezet speciaal onderwijs) Verordening voorzieningen Huisvesting Onderwijs Stichtse Vecht 2015 Wet ontwikkelingskansen door kwaliteit en educatie (Wet OKE)

Een volle zus van 14-043, vader van 18-367 “Achiel” (1e Nat. Asduif grote halve fond oude KBDB 2019 en beste duif van België over 5 en 6 nationale vluchten met o.a. Asduif halve

Ook elders komt de Daniels-soort bovendrijven, zoals bij Marcel Wouters (1e Prov. Asduif grote halve fond jonge 2020 uit een duif van Willy) en Casaert-Sénéchal (1e Nat.

Door het seizoen bepaalde energie-efficiëntie van de ruimteverwarming bij gemiddelde klimatologische omstandigheden steeds voor toepassing.. bij gemiddelde temperaturen (Ƞs)