• No results found

Novel EUV mask absorber evaluation in support of next-generation EUV imaging

N/A
N/A
Protected

Academic year: 2021

Share "Novel EUV mask absorber evaluation in support of next-generation EUV imaging"

Copied!
14
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

PROCEEDINGS OF SPIE

SPIEDigitalLibrary.org/conference-proceedings-of-spie

Novel EUV mask absorber evaluation

in support of next-generation EUV

imaging

Vicky Philipsen, Kim Vu Luong, Karl Opsomer, Christophe

Detavernier, Eric Hendrickx, et al.

Vicky Philipsen, Kim Vu Luong, Karl Opsomer, Christophe Detavernier, Eric

Hendrickx, Andreas Erdmann, Peter Evanschitzky, Robbert W. E. van de

Kruijs, Zahra Heidarnia-Fathabad, Frank Scholze, Christian Laubis, "Novel

EUV mask absorber evaluation in support of next-generation EUV imaging,"

Proc. SPIE 10810, Photomask Technology 2018, 108100C (10 October

(2)

Novel EUV mask absorber evaluation in support of next-generation

EUV imaging

Vicky Philipsen

1,*

, Kim Vu Luong

1,2

, Karl Opsomer

1

, Christophe Detavernier

3

, Eric Hendrickx

1

,

Andreas Erdmann

4

, Peter Evanschitzky

4

, Robbert W.E. van de Kruijs

5

, Zahra Heidarnia-Fathabad

5

,

Frank Scholze

6

, Christian Laubis

6

1

imec, Kapeldreef 75, B-3001 Leuven, Belgium

2

KU Leuven, Department of Materials Engineering, Belgium

3

University of Ghent, Cocoon, Department of Solid State Sciences, Belgium

4

Fraunhofer IISB, Schottkystr. 10, 91058 Erlangen, Germany

5

University of Twente, PO Box 217, 7500 AE Enschede, The Netherlands

6

PTB, EUV Radiometrie, Abbestr. 2-12, 10587 Berlin, Germany

ABSTRACT

In next-generation EUV imaging for foundry N5 dimensions and beyond, inherent pitch- and orientation-dependent effects on wafer level will consume a significant part of the lithography budget using the current Ta-based mask. Mask absorber optimization can mitigate these so-called mask 3D effects. Thin metal absorbers like Ni and Co have been experimentally investigated due to their high EUV absorption, but they pose challenges on the current technology of subtractive mask patterning [1]. A simulation study of attenuated EUV phase shift masks has identified through multi-objective optimization superior imaging solutions for specific use cases and illumination conditions [2].

Evaluating novel EUV mask absorbers evolves on two levels, demonstrating (1) improvements from lithographic perspective and (2) compatibility with the full mask supply chain including material deposition, absorber patterning, scanner environment compatibility and mask lifetime.

On the lithographic level, we have identified regions based on the material optical properties and their gain in imaging performance compared to the reference Ta-based absorber. Within each improvement region we engineered mask absorber materials to achieve both the required imaging capabilities, as well as the technical requirements for an EUV mask absorber. We discuss the material development of Te-based alloys and Ag-based layered structures, because of their high EUV extinction. For the attenuated phase shift materials, we start from a Ru-base material, due to its low refractive index, and construct Ru-alloys.

On the experimental level, we examined our novel mask absorber materials against an initial mask absorber requirement list using an experimental test flow. Candidate materials are evaluated on film morphology and stability through thermal, hydrogen, EUV loading, and chemical cleaning, for their EUV optical constants by EUV reflectometry, as well as preliminary for selective dry etch.

The careful mask absorber evaluation, combining imaging simulations and experimental material tests, allowed us to narrow down to promising combinations for novel EUV mask absorbers.

Keywords: EUV mask absorber, mask 3D effects, absorber characterization, rigorous mask 3D lithography simulation

1. INTRODUCTION

Over the recent years the knowledge has grown and spread on mask-induced imaging effects, experimentally observed in EUV lithography for N5 dimensions and beyond, with the current EUV mask [1-9]. More precisely, the current Ta-based absorber is at its limit for imaging extendibility. Thinning down below 50nm Ta-based absorber thickness will reduce the amount of absorbed light, reduce the NILS and increase best focus variation through pitch [10,11]. Although the current Ta-based mask has proven benefits from mask technology point, the imaging performance towards next technology nodes can benefit from mask optimization. The industry needs to reconsider the EUV mask concept as a mitigation of mask-induced imaging effects (by balancing the diffraction for all features and pitches simultaneously).

(3)

Different con to changing t the etched mu difficult. Tod technology n initiate a ma simulations a In Section 2 w reference Ta-and we demo Section 4 sum selection. In this Sectio results onto t improvement different mas 2.1 Material When we loo their EUV op response in ri Figure 1 EU EUV absorpt From TaBN absorber thick refraction ind and in the oth

2.2 Imaging We studied th equivalent N5 our calibrated illumination compared to c ncepts have be the multilayer ultilayer mirro day, the focus nodes. This be ask absorber and experimen we motivate t -based mask f onstrate our ex mmarizes the 2. on we highlig the material op t. In the secon k absorber n& l space vs. re ok for alternati ptical propert igorous lithog UV n&k space ion, phase ma as starting po kness reductio dex of the mat her direction to g performanc he imaging pe 5 dedicated bu d and experim settings at NA candidate abs een introduced r mirror mater or mask [14,1 of the industr ecame clear i change [16]. ntal absorber m the choice of for typical N5 xperimental e e main findin IMAGING ght the benefit ptical propert nd sub-Section &k. This leads

ference TaBN

ive mask abso ties, n&k, as graphic simula with TaBN a atching to vacu oint we can i on and conseq terial allows i o increase the e of n&k regi erformance of uilding block mentally valida A0.33 and se orber material

d over the rec rials to Ru/Si 15]. Realizing ry is on novel in the 2018 i In this pape material tests, alternative ma building bloc evaluation me gs of this pap G GAIN IN t of a mask a ty space. In th n we dive dee us to the iden N mask absor orber material these are - to ators. Figure 1 as the diamond uum and inten improve to m quently smalle in one directio e contrast, whe ions vs. refer f various mask s using the rig ated mask Mo elected feature ls at 32nm thi

ent years, from [13] over em these mask c l mask absorb imec organize er we report of potential n ask absorbers cks at NA0.33 ethodology flo per and point

EUV MASK

absorber chan he first sub-Se eper into the s

ntification of m

rber

s from an ima ogether with t plots the EUV

d and three po ntional phase s materials with er shadowing e on to reduce t en enhancing rence TaBN m k absorbers in gorous mask 3 o/Si multilaye e types and r ickness with n m tuning the M mbedding the a concepts in pr ber as the mos ed forums gat the careful e novel EUV ma s based on the . Section 3 de ow using our ts to a path f K ABSORB ge by project ection we iden imulation resu materials with aging perspect the absorber t V n&k space w ossible n&k re shifting. higher EUV effect due to i the phase defo

the phase shif

mask absorbe

n the three reg 3D simulator er mirror mod ranges. The 6 n&k combinati Mo/Si multila absorber in th roduction wor t realistic imp thering the E evaluation, co ask absorbers. eir imaging pe etails out the m

engineered, n forward in th

BER CHANG

ting a wide ra ntify n&k regi ults of foundr h predicted im

tive, we repre thickness - ac with the meas

egions for nov extinction co its increased E ormation, whe ft character of er gions as indica S-Litho EUV del presented i 60nm referenc ions as repres ayer mirror pe he multilayer m rthy environm plementation t EUV blank su ombining rigo erformance co mask absorber novel EUV ma he absorber m GE ange of imagi ions of intere ry N5 building maging gain.

sent the mater ccountable fo sured values fo vel absorber m oefficient, whi EUV absorptio en n is matche f the material. ated in Figure V (Synopsys) in [19]. Table ce TaBN mas ented in Table eriodicity [12] mirror [3] and ment turned ou towards future upply chain to orous imaging ompared to the r requirements ask absorbers material down ing simulation st for imaging g blocks using rial options by or the imaging for TaBN [17] materials: high ich allows for on. Tuning the ed to vacuum 1 for foundry [18] including e 1 depicts the sk absorber is e 1 (c). , d ut e o g e s s. -n g g y g . h r e m, y g e s

(4)

30 40 50 30 40 50i 60 70 Pitch [nm] -I 60 70 Pitch [nm] 32 28rr 094 V refractive ind 1

N

50 60 Pitch [i I +Trench --o-CH - Que 70 80 9 nm] tFrl.lcil

..

...

40 F Trench - DipY r CH - Quasar4

-

--ttattataatt

80 90 -s- s F Trench - DipY .-CH - Quasar4 SO 90 tTrench "WiliesimbM 70 80 9 nm] 10 5 0 -5 10 -15 -20 30 40 Table 1 (a) I rigorous imag Figure 2 Ma NA0.33 as in error; Left-bo Illumination s ging simulatio (a) ask 3D sensit ndicated by th ottom: NILS; R settings at 0.3 ons. tive imaging he legend in e Right-bottom 33NA, (b) fea (b) metrics using each plot. Lef : Two bar CD ature types th g the 60nm T ft-top: best fo D asymmetry th hrough pitch, TaBN referenc ocus variation hrough focus. and (c) mask ce mask for f through pitch k absorber n& (c) features and i h; Right-top:

&k used in the

llumination a Telecentricity

e

at y

(5)

Qs4! 0.1 d0.09 w 0.08 0.07 ac 0.06 -° 0.05 0.04 ti 0.03 D 0.02 3 0.01 0 0.86 OS - CH - Best Foc 8 0.9 0.92 n, EUV refrac 8 0.9 0.92

n, EUV ref rac

:H - max. telece :us range TaB 0.94 0.96 0.9e Live Index inm NILS 0.94 0.96 0.99 Give index ocus range

Do

faBN 4 0.96 0.98 . Index asymmetry 4 0.96 0.98 a Index Qs45 -C 0.1 F., 0.09 .t 0.08 3c 0.060.07 -O 0.05 V f0.04 agi 0.03 j 0.02 Lu 0.01 0 0.86 0.81 5 - CH - pitch40

P

c 8 0.9 0.92 i n, EUV refrac 0.1 v 0.09 '9 0.08 á 0.07 80.06 0.05 V S 0.04 ti0.03 D0.02 x 0.01 0 0.86 OS Qs4! ntricity error

as

TaBN 0.94 0.96 0.9E five Index Trench - Best Fr

MEN

'Mr

--n

--0 9 0.92 0.9, n, EUV refractive 4 0.96 0.98 , index t2nm NILS

J

ras ecentr. error

j

la BN 4 0.96 0.98 index

rench - max. tel

o

a

1 0.9 0.92 0.94 n, EUV refractive >itch32nm 2Bar

MM

Mr1

ML

a

MM

MM

gaM

MM

MM

0 9 0.92 0.9. n, EUV refractive 0.1 0.09 0.08 0.07 0.06 0.05 0.04 0.03 0.02 0.01 0 0.86 0.88 Quasar45-' Trench pitch: 0 9 0.92 0.94 n, EUV refractive DipoleY90 - p 0.1 0.09 0.08 0.07 0.06 0.05 0.04 0.03 0.02 0.01 0 0.86 0.88 DipoleY90 -Tr 0.1 0.09 0.08 0.07 0.06 0.05 0.04 0.03 0.02 0.01 0 0.86 0.88 0.1 0.09 0.08 0.07 0.06 0.05 0.04 0.03 0.02 0.01 0 0.86 0.88 Quasar45 -Figure 3 n& 60nm TaBN a

&k plots with t absorber mask the change in k. The imagin the imaging ng metric, feat metric of the ture type and i

32nm n&k a illumination a absorber mask at NA0.33 as in k compared to ndicated abov o the reference ve the plots. e

(6)

The imaging settings are th CD asymmetr best focus th asymmetry th compared to telecentricity metrics by ch circle symbo circle refers t is the value o n&k space wi A region of in be attributed vacuum regio vacuum caus NILS. This fi objective opt based mask. TaBN due t telecentricity phase matchi determines th general impro Figure 4 n& TaBN. Within each o reside in the RuRe is posit detail in the n Mask absorbe needs to fulfi manufacturin more extensiv sub-Sections. metrics sensit he best focus ry through foc hrough pitch a hrough focus the reference error and tw hanging the ab ls is relative to a deteriorat of the largest ith expected im ncreased NIL to a destruct on of the mas ses a more pro finding suppor imization led A region of to stronger E errors and tw ing of the ab he optimal n& ovement.

&k plot indica of these regio region of hig tioned in the next Section. er change is a fil a diversity ng, mask fabric ve list can be . tive to mask 3 variation, the cus. Figure 2 amounts to 40 s at the smal e mask implie wo bar CD asy bsorber materi to the referen tion of the me relative impro maging impro LS can be obse tive interferen sk. Moreover, onounced wa rts earlier wor to the improv smaller best EUV absorpti wo bar CD asy bsorber materi &k region, al

ating three reg ons we engine gh extinction attenuated ph 3. EUV a complex an of requiremen cation and qu found in [20] 3D effects eva e NILS, the te shows the im 0nm, while th llest pitch of es that the N ymmetry thro ial with respec nce TaBN. Th etric compared ovement. Com ovement comp

erved for mate nce of (incre , the decrease aveguide effec rk [2] where a ved imaging o focus range t on over a sm ymmetry throu

ial to the sur lthough increa

gions with ex ered and char coefficient. N hase shifting re V MASK A d difficult pro nts to guarant alification, an ]. Table 2 list aluated through elecentricity er maging behavio he maximum f 32nm exten NILS needs to ough focus ne ct to the refere he green colo d to the behav mbining all th pared to the re erials with a r asingly) phas ed refraction i ct for the ligh a co-optimizat of an attenuat through pitch

maller absorb ugh focus can rrounding vac asing the ext

xpected impro racterized pote Ni3Al and TaT egion. Figure BSORBER ocess in the m tee success th nd mask use p ts the requirem

h pitch for the rror (i.e., patte or using the 60 telecentricity nts to 48mrad o increase, wh eed to decreas ence is plotted or implies an

vior of the ref he imaging re eference mask refraction inde se shifted ligh index contras ht in the vacu tion of mask ed phase shift can be found ber thickness n be found at a cuum. The we inction coeffi oved imaging ential EUV m Te2 exhibit a 4 illustrates t REQUIREM mask making hroughout the ose various re ments we inve e three feature ern shift throu 0nm TaBN re

error reaches d. Improving hile the best f se. The relativ d in n&k plots improvement ference mask. sults allows u k. The resultin ex n smaller t ht in the abso t between the uum spaces an material and fting mask com

d at higher ex minimizing a refraction in eight given to icient k comp performance mask absorber refraction ind the n&k of th MENTS technology, s e complete EU equirements o estigated in m e types and tw ugh focus), an eference mask s -13mrad and the imaging focus range, ve change of in Figure 3. T t of the metric Also indicate us to identify ng plot is show than that of Ta orber area an e absorber ma nd a further in illumination t mpared to the xtinction coef the phase im ndex n close t o each optim pared to TaBN compared to materials. Ag dex approachi he materials st

since the cand UV mask life on the candida more details in

wo illumination nd the two bar k. The range o

d two bar CD g performance the maximum each of these The size of the c, while a red ed in each plo

regions in the wn in Figure 4

aBN. This can nd light in the aterial and the ncrease of the through multi reference Ta fficient k than mpact. Lower to 1 due to the ization metric N points to a the reference g, Ni and PtTe ing one, while tudied in more didate materia cycle. Blank ate absorber. A the following n r f D e m e e d ot e 4. n e e e -n r e c a e e e e al k A g

(7)

Table 2 Inve Character Film morp Optical co Mask dur Mask patt 3.1 Film mo Single metal formation [1 alloying. Ag-based lay extinction co crystallization adhesive laye structure, wit diffractometr surface roug roughness, A nm, and are l size Ag to na ratio of Ag to Ag/Cu multil Figure 5 (a) crystallinity. Alloying the film poly-cry improvement 50% Te due shifting mater To increase th microscope ( confirmed by estigated mask rization phology onstants rability terning orphology films deposi ,20]. In the a yered structure oefficients at n and islandin er, such as Te th individual ry (XRD) (see ghness with p Ag/Cu multilay less prone to o anometer size o Cu can be f layers provide XRD spectra (b) XRD com suitable optic ystallinity. In t of Ni-Al allo to its high E rials [2]. he chemical s (TEM) image y in-situ XRD k absorber requ Evaluation Crystallinity Surface comp Surface rough EUV n&k EUV & H* in Wet clean Traditional or ited by physi absorber film es have been d 13.5 nm, and ng/roughness e or Cu. Furth layers of a e Figure 5(a)) peak-to-valley yers have bee oxidation than Cu crystallite further explor e from this per

a for a single mparison of cry cal property o our earlier w oys [20]. To EUV absorpt stability of Te e in Figure 6 (IS-XRD) me uirements wit position hness nteraction r disruptive ical vapor de ms, studied in developed as p d as such is development her build-up o few nanomet showed mode y in the few en developed n Te. Changin es, both far aw

ed in order to rspective a pro e Ag layer, A ystallinity in l of individual e work we alre ensure high E ion. Our dev , we have inv 6(a) shows th easurements, w th their impact impacts Line edg Film stab Out-of-b Imaging Usage in Mask cle Pattern p eposition (PV n this work, t potential alter of interest fo t during sputt of crystallinity ters. Structura erately reduce w-nanometer r that exhibit n ng the fraction way from full o tailor the op omising candi Ag/Te and Ag ow and high C elements in a eady reported EUV absorptio velopment inc vestigated the he quasi-amo where it is sho t on EUV lith ge roughness bility band n scanner eaning profile VD) suffer fro the poly-cryst rnative binary or absorber d ter deposited y and roughne al characteriz ed crystallite s range. To fur nanometer size n of Ag and Cu layer crystall ptical response idate for appli

g/Cu multilay Cu containing stable compo d on the deve on, we engine cludes Ru-allo noble metal te rphous morp own that recry

ography and t Testin TEM XPS, AFM EUV H* EUV ICP-M RIE o om full-layer tallinity is re absorbers. Ag development. Ag growth w ess is represse zation of the sizes, compare rther reduce e crystals, hav u only shifts t lization, as pr e of the multi cation as bina yers. Ag/Cu m g Ag/Cu multil osition is anot elopment, cha eered metal te oys intended elluride Pt-Te hology of as ystallization oc the testing me ng methodolo M, IS-XRD TEM M reflectometry cleaner, hig MS or ASD crystallizatio educed by mu g exhibits one The problem was addressed ed by using a Ag/Te system ed to pure Ag

the film cry ve a rms roug the balance fro resented in Fig layer absorbe ary absorber m multilayers sh layers. ther approach aracterization elluride alloys for EUV atte e. The transmi s-deposited Pt ccurs at 210°C ethodology. ogy y gh power on and island ultilayering or e of the larges m of full layer d by using an multi-layered m with X-ray g, coupled to a ystallinity and ghness of 0.25 om nanometer gure 5(b). The er, and as such material.

how very low h to reduce the and imaging s with at leas enuated phase ission electron tTe, which is C. -r st r n d y a d 5 r e h w e g st e n s

(8)

We investiga quasi-amorph 350°C, which the full absor As-deposited This crystalli elements, suc [21-22]. Figure 6 Top 3.2 EUV opt The EUV op induced imag nm) are cond II storage rin in the wavele the engineere rigorous litho Figure 7 EUV (b) Ni3Al film

ated the feasib hous morphol h will be discu rber layer. d Ru-alloys ex

ine phase rem ch as N and P p: TEM image tical properti ptical properti ging effects. E ducted in the lu g [17]. The ob ength range, a ed alloys is e ographic simul V reflectance m and (c) Ru3R bility of Ta-te logy of as-dep ussed in more xhibit a high d mains the sam , might reduce (a) e of as-deposit ies ies of the ma EUV reflectan ubrication free btained surfac as well as the essential to co lation (cf. Sec (a)

(in log10 scal Re film on Si elluride. The posited Ta-Te e detail in Sec degree of poly me towards 50 e the crystalli

ted (a) PtTe, (

ask absorber nce measurem e EUV reflect ce plot, presen thickness of t orrectly predi ction 2.2). le) as function substrate. IS-XRD mea e alloy. A ch tion 3.3.1. Fu y-crystallinity 00°C, based nity, by break (b) (b) TaTe2, and material and ments through tometer of PT nted for three the individual ict the imagin

(b) n of waveleng asurements an hange in back urthermore, the y, visible in th on the IS-XR king the Ru cr d (c) Ru3Re fil its thickness h incidence an B at the soft X alloys in Figu l layers in the ng impact of gth and inciden nd TEM imag kground intens e presence of he TEM imag RD measurem rystal lattice th lm. Bottom: c s determine it ngle and wave X-ray radiome ure 7, is fitted film. The acc these potenti nce angle of a ge in Figure 6 sity can be d oxygen is det ge of Ru3Re i ments. Doping hrough their s (c) orresponding ts ability to m elength (from etry beamline d to provide th curate n&k m ial mask abso

(c) a ~30nm thick 6(b) prove the detected above tected through in Figure 6(c) g with smaller size difference IS-XRD plot. mitigate mask m 10 nm to 16 at the BESSY he n&k values measurement o orber films in

k (a) PtTe film e e h ). r e . k 6 Y s f n m,

(9)

3.3 Absorbe During norm operation. A particles. We environment films allows u 3.3.1 The The temperat We quantified Kissinger ana presented in beyond the ty Under therma at different t tellurides a si stabilize the T Figure 8 (a) L for (b) TaTe2

From the the during typica 3.3.2 Wet The candidate mask cleanin in a beaker w thickness los each surface Ni3Al in both respectively. Next, the PtT (ICP-MS) to in both aqueo er durability

mal mask usag dditionally, th e have a testin and in soluti us to make a p rmal stability ture of the ma d the lifetime alysis [23]. Fo Figure 8(a). A ypical mask lif

al loading the temperatures, ignificant Te Te better than (a) Lifetime until and (c) PtTe ermal stability al mask therma t conditions e mask absorb ng: (1) de-ioni with the soluti s, roughening element. Alu h tested aqueo Te film is char determine the ous solutions a ge in the fab he mask nee ng methodolog ons of the ca proper absorbe y sk in the scan of the candid or the PtTe fil At a constant fetime in a fab alloy might s as shown in loss is detecte the Ta-telluri l recrystallizat film. y tests on the al conditions (

ber must stay zed water (DI ions for ~24 h g or density ch uminum metal ous solutions. racterized by e rate of mate after three diff

b the mask ab eds to withsta gy in place to andidate mate er selection. ner or in stora date absorber f lm we extrapo t temperature b. suffer from ma Figure 8 (b-ed at 500°C. A ide, where som

tion of PtTe f engineered a (i.e., below 15 stable during IW) at pH 5.7 hours. After v hange. The st l reacts under TaTe2 and Pt

the highly sen erial loss in ea ferent time du bsorber must and several w assess the th rial films. Th

age will vary, films by calcu olated the lifet of 80°C the aterial loss. F -c). Te becom At a temperat me Te loss is n (b) film vs. tempe absorber films 50°C). typical mask 7, (2) 1% NH4 visual inspecti tability of the r both acidic a tTe are more s nsitive techni ach aqueous s urations. t stay unalter wet condition ermal stability his stability qu but it may no ulating the recr

time until recr PtTe film wi or the TaTe2 a mes volatile j ture of 250°C noticed. erature. Te los s we learned k cleaning. We 4OH at pH 11

ion the films a alloys in wet and alkalic co stable in the s que of induct olution. Figur red in scanne ns, when clea y as well as th uantification t impact the m rystallization rystallization ll recrystalliz and PtTe film ust above 40 C the noble me

ss measured a that PtTe and

e selected two .4. First the s are measured t conditions d onditions, wh solutions due t tively coupled re 9(a) shows er conditions aned for rem he stability un of the engine material morph activation ene at different te e after 450 y ms the Te loss 00°C and ther etal telluride P (c) at different the d TaTe2 will o solutions cur amples are fu by XRR to d depends on th hich impacts th to the stability d plasma mass the measured under norma moving surface nder hydrogen eered absorber hology. ergy through a emperatures as ears, which is was measured refore in both PtTe seems to ermal loadings stay unaltered rrently used in ully submersed determine film e reactivity o he reaction o y of Ta and P s spectrometry d Te dissolved al e n r a s s d h o s d n d m f f Pt y d

(10)

Figure 9 (a) D (b) Calculated From the slop and less than perform an im 3.3.3 Scan In the scanne these operatio However, the Therefore, we hydrogen cle backscatterin intact after ~ telluride PtTe Figure 10 Re The individua conditions to is required to test in an irr combined EU the films afte

Dissolved Te d Te loss rate pe through tim n 1nm in 1% N maging sensiti nner conditio er the mask, an on conditions e absorber m e conducted a eaner [20]. Th g spectrometr ~24 hours H* e seems to bin elative elemen al H* test with pure H* envi effectively as radiation cham UV power den r EUV+H2 ex measured by per full day in me we calcula NH4OH. The ivity study in ons nd thus the m s hydrogen ra material is no a first assessm he elemental ry (RBS) and exposure, wh nd the Te stron ntal content af h the novel ab ironment are t ssess the impa mber at the b nsity and H2 g xposure by X-r (a) y ICP-MS in t n two differen ate the Te diss quantification a next stage. mask absorber adicals (H*) c ot allowed to ment by exposi content of th the relative ch hile the telluri nger in the bul

fter more than bsorber films p too aggressive act of combin eamline of P gas pressure [ ray photoelect two different nt aqueous sol solution after n of absorber material, is ex can be formed form volatil ing our alloy f he films befor hanges are plo ides lose Te c lk than the Ta 24 hours H* provides a rou e compared to ed high EUV TB where the [24]. Our initi tron spectrosc aqueous solut lutions. a full day of material loss xposed to hig d and these H le contaminan films to a stro re and after e otted in Figur content due to a-telluride. exposure as d ugh estimate o o the actual sc power and H2 e PtTe films ial results in F copy (XPS). (b) tions after thr submersion to s after multipl gh EUV power H* can react w nts or to cha ong H* environ exposure was re 10. The Ni3 o reaction to H determined by of possible inte canner conditi 2 atmosphere. were exposed Figure 11 are ree different ti o be less the 0 le mask clean r in H2 enviro

with the abso ange its film nment in imec determined b 3Al and Ru3Re H*. Again, th RBS. eractions. How ions. A dedica We performe d to variable obtained by ime durations 0.4nm in DIW ns allows us to onment. Under orber material m morphology c’s EUV Tech by Rutherford e films remain he noble meta

wever, the tes ated test setup ed a feasibility conditions o characterizing s. W o r l. y. h d n al st p y f g

(11)

Figure 11 No conditions. Two groups o oxidized Te p surface to me These initial environment, conditions an 3.4 Absorbe To emphasis approaches. S be considered 3.4.1 Sub Mask absorbe etching proce sputtering can work [1] we component of and to demon In a first etch material film and the TaTe

(a) Figure 12 Cr (c) PtTe film ormalized XPS of results can peaks at the Pt etallic Te, resu results indic but the samp nd matching to er patterning s the importa Subtractive pa d a disruptive tractive patte er patterning ess. By formi n pattern thro e experienced

f this etch tec nstrate volatile hing test a 1µ in an RIE too 2 film. ) ross-section SE on Si substrat S spectra of T n be observed tTe surface, w ulting in equal cate the sens ples need add o actual scann ance of absor atterning is th manner for th erning is typically ac ing volatile co ough thin met

the challeng chnique by usi e formation w µm thick patt ol. The cross-s

EM images af te and with a

Te3d peaks fro : the referenc while a combin l Te-oxide and sitivity of th ditional chara er conditions rber patternin he traditional w he mask makin chieved with ompounds, th tal layers anis ges of metal p

ing halogen-b ith the elemen terned resist f section SEM i (b fter chemical 1µm thick res om the referen e PtTe film a nation of EUV d metallic Te p e materials t acterization to is ongoing. ng we assess way of pattern ng technology reactive ion e he etching is m sotropically, b patterning thr based plasma o ntal componen film is used a images in Fig ) etch in haloge sist pattern on

nce and five P and the PtTe f V and H2 gas s

peaks. to the combi

quantify its

ed experimen ning the mask y. etching (RIE), material selec but it is not ex rough RIE et only, without nts of the abso as mask for th gure 12 illustra en-based plasm top.

PtTe films exp films only exp seems to reduc ined exposure impact. Furth

ntally the fea k absorber, wh

, which is a c ctive. The phy xtremely mate tching. Now a carrier gas orber alloy. he chemical e ate the outcom

ma of ~30nm posed to diffe posed to EUV ce the Te-oxid e of EUV p her optimizati asibility of tw hile additive p chemically ass ysical etching erial selective we focus on to reduce the etching of the me for the pur

(c)

thick (a) Te, (

erent EUV+H V show strong

de on the PtTe power and H

ion of the tes

wo patterning patterning can sisted physica g by ion beam e. From earlier the chemica physical etch e ~30nm thick re Te, the PtTe

(b) TaTe2, and 2 g e 2 st g n al m r al h, k e d

(12)

The pure Te removed in t materials are sidewalls and as we also ob High-k metal development 3.4.2 Add Because subtr disruptive app Since our sim an EUV mas using a dielec We start with sidewall and sidewall defin controllable w Figure 13 (b Furthermore, template is re shows a fully advantage of create amorph the compatibi Figure 13 Si cobalt ASD; ( In this paper w experimental thickness wit these improv EUV absorpt film is isotro the chemical e patternable d from residue bserved for Ni ls, like Ni an is needed to s ditive pattern ractive metal proach of met mulation study sk is capped w ctric template h sacrificial pa edge of the nition. In a ne way on the op b) the trenche the Ru capp emoved to re y amorphous f the additive hous metal pa ility with mas

(a) mplified flow (c) Co pattern we proposed n behavior in E th improved m ement regions tion and ther

pically etched etch process. in a subtract es in the remo etch [1]. nd Pt, are di solve these pa ning patterning po tal-on-metal a y converges to with Ru, whic

through meta atterning in a d

final metal f ext step the A pen Ru metal es in the tem ping layer is

sult in the fin cobalt pattern patterning tec atterns on the sk size, e.g., in w for metal-on n after templat novel EUV m EUV lithograp mask induced s, we enginee refore will su d in the plasm This is a str tive way. Ho oved trenches, fficult to etch atterning challe ses challenges area selective d o high-k metals ch is a metal, al electroless d dielectric laye features. The ASD is perfor areas in betw mplate are fil not damaged nal Co metal n, as crystalliz chnique, whil Ru cap of the n terms of thic n-metal ASD te removal. 4. mask absorbers phy conditions d imaging effe ered novel ma uffer less fro

ma and is ther rong indicatio owever, the P which is typi h selectively enges. s on selectivit deposition (A s as candidate we can grow deposition [26 er (e.g., SiO2). dielectric pa rmed where th ween the temp lled with cob d by additive absorber patt zation is conf le Co PVD is e multilayer m ckness uniform (b) D on 200nm p CONCLUS s based on a co s. We identifie ects compared ask absorbers. om best focus refore likely e on that alloys Pt-telluride sa

ical for metal with known ty and chemic SD) for mask e mask absorb w in a control 6]. The flow is . The quality o atterning enab he metal (i.e., plate. In our e balt without patterning, c ern. Characte fined by the d poly-crystall mirror. Further mity and defec

pitch equal lin

SION

ombined asse ed EUV n&k d to the curren

The PtTe all s variations. etchable by R with Te and mple suffers etching with chemistries. cal volatility o patterning pu ber materials, a lable way me s exemplified i of the templat bles further C the mask ab example cobal Co deposition contrary to et erization of th dielectric tem line. Additive r optimization ctivity. nes/spaces. (a ssment of thei regions for m nt Ta-based m loy and Ag-ba

NiAl and Ta

IE. The Ta-te d other chemi from fences limited volati Direct metal f metal eleme urpose [25].

and the multil etal selectively in Figure 13. te pattern will CD/pitch scali sorber materi lt ASD is perf n on top of tching. In the he Co ASD in mplate. This is patterning ha n is required t (c) a) Template p ir imaging per mask absorbers mask absorber ased multilaye aTe alloys m elluride is also cally etchable on the resis le byproducts l etch process ents, we took a layer mirror o y on metal by determine the ing with good al) grows in a formed and in the template e last step the n this example an additiona as potential to to demonstrate patterning; (b) rformance and s around 32nm r. For each o er have a high match at EUV o e st s, s a f y e d a n e. e e al o e ) d m f h V

(13)

wavelength their phase close to vacuum resulting in reduced telecentricity errors and two bar CD asymmetry through focus. The RuRe alloy is put forward as potential attenuated phase shifting material for EUV masks expected to increase NILS at reduced dose-to-size with the proper mask-illumination optimization.

We presented the experimental testing methodology geared to address several essential mask absorber requirements to allow for a material down-selection. Our testing flow assesses the durability of the film morphology under different thermal, EUV, hydrogen, and cleaning conditions, typical for mask environment. We found that the most interesting materials from lithographic perspective pose challenges on traditional mask etching technology. Adapting towards etchable materials creates a trade-off in material durability and subtractive patterning. Therefore, we introduced the concept of additive patterning for mask absorbers.

Our next goal is to find solutions – through collaborative efforts – for the absorber patterning challenges of the proposed novel mask absorber materials.

5. ACKNOWLEDGEMENTS

This project has received funding from the Electronic Component Systems for European Leadership Undertaking under grant agreement number 662338. This Joint Undertaking receives support from the European Union’s Horizon 2020 research and innovation programme and Netherlands, France, Belgium, Germany, Czech Republic, Austria, Hungary, Israel.

The authors are grateful to Dr. I. Pollentier and Dr. J. Rip for their assistance in the durability testing. Dr. L. Souriau is acknowledged for his etch support and expertise. For metrology support we thank N. Vandenbroeck, P. Jaenen, Dr. T. Conard, Dr. I. Hoflijk, and Dr. J. Meersschaut (imec). Area selective deposition of Co was made possible thanks to K. Vandersmissen and Dr. BT Chan (imec). We appreciate the support of Dr. G. McIntyre, Dr. K. Ronse, Dr. S. Van Elshocht (imec), and Prof. Dr. M. Heyns (KU Leuven).

REFERENCES

1 Philipsen, V., Luong, K.V., Souriau, L., Erdmann, A., Evanschitzky, P., van de Kruijs, R.W. E., Edrisi, A., Scholze, F., Laubis, C., Irmscher, M., Naasz, S., Reuter, C., Hendrickx, E., “Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers,” Journal of Micro/Nanolithography, MEMS, and MOEMS 16 (4), 041002 (2017). 2 Erdmann, A., Evanschitzky, P., Mesilhy, H., Philipsen, V., Hendrickx, E., Bauer, M., “Attenuated phase shift mask for extreme ultraviolet: can they mitigate three-dimensional mask effects?,” Journal of Micro/Nanolithography, MEMS, and MOEMS 18 (1), 011005 (2018).

3 Erdmann, A., Fühner, T., Evanschitzky, P., Neumann, J.T., Ruoff, J., Gräupner, P., “Modeling studies on alternative EUV mask concepts for higher NA,” Proc. SPIE 8679, 86791Q (2013).

4 Erdmann, A., Xu, D., Evanschitzky, P., Philipsen, V., Luong, V., Hendrickx, E., “Characterization and Mitigation of 3D Mask Effects in Extreme Ultraviolet Lithography,” Advanced Optical Technologies 6, 187 (2017).

5 Finders, J., de Winter, L., Last, T., “Mitigation of mask three-dimensional induced phase effects by absorber optimization in ArFi and extreme ultraviolet lithography,” Journal of Micro/Nanolithography, MEMS, and MOEMS 15 (2), 021408 (2016).

6 Yan, P.Y., “The impact of EUVL mask buffer and absorber material properties on mask quality and performance,” Proc. SPIE 4688, 150 (2002).

7 Burkhardt, M., “Investigation of alternate mask absorbers in EUV lithography,” Proc. SPIE 10143, 1014312 (2017).

8 Wood, O., Raghunathan, S., Mangat, P., Philipsen, V., Luong, V., Kearney, P., Verduijn, E., Kumar, A., Patil, S., Laubis, C., Soltwisch, V., Scholze, F., “Alternative materials for high numerical aperture extreme ultraviolet lithography mask stacks,” Proc. SPIE 9422, 94220I (2015).

9 Erdmann, A., Evanschitzky, P., Neumann, J.T., Gräupner, P., “Mask-induced best-focus-shifts in DUV and EUV lithography,” Journal of Micro/Nanolithography, MEMS, and MOEMS 15 (2), 021205 (2016).

(14)

10 Davydova, N., de Kruif, R., Rolff, H., Connolly, B., van Setten, E., Lammers, A., Oorschot, D., Fukugami, N., Kodera, Y., “Experimental approach to EUV imaging enhancement by mask absorber height optimization,” Proc. SPIE 8886, 888622 (2013).

11 Last, T., L. de Winter, L., Finders, J., “Imaging enhancement by reduction of mask topography induced phase aberrations for horizontal 1D spaces under D90Y illumination,” Proc. SPIE 9635, 96350K (2015).

12 Philipsen, V., Hendrickx, E., Verduijn, E., Raghunathan, S., Wood, O., Soltwisch, V., Scholze, F., Davydova, N., and Mangat, P., “Imaging impact of multilayer tuning in EUV masks, experimental validation,” Proc. SPIE 9235, 923518 (2014).

13 Wood, O., Wong, K., Parks, V., Kearney, P., Meyer-Ilse, J., Luong, V., Philipsen, V., Faheem, M., Liang, Y., Kumar, A., Chen, E., Bennett, C., Fu, B., Gribelyuk, M., Zhao, W., Mangat, P., van der Heide, P., “Improved Ru/Si multilayer reflective coatings for advanced extreme ultraviolet lithography masks,” Proc. SPIE 9776, 977619 (2016). 14 Takai, K., Motokawa, T., Koji, M., Kamo, T., Hayashi, N., “Patterning of EUVL binary etched multilayer mask,” Proc. SPIE 8880, 88802M (2013).

15 Van Look, L., Philipsen, V., Hendrickx, E., Vandenberghe, G., Davydova, N., Wittebrood, F., de Kruif, R., van Oosten, A., Miyazaki, J., Fliervoet, T., van Schoot, J., Neumann, J.T., “Alternative EUV mask technology to compensate for mask 3D effects,” Proc. SPIE 9658, 96580I (2015).

16 imec Technology Forum on advanced EUV mask absorbers at SPIE AL 2018 and PUV 2018.

17 Scholze, F., Laubis, C., Luong, K.V., Philipsen, V., "Update on optical material properties for alternative EUV mask absorber materials,” Proc. SPIE 10446, 1044609 (2017).

18 http://www.synopsys.com/silicon/mask-synthesis/Sentaurus-Lithography.html

19 Philipsen, V., Hendrickx, E., Jonckheere, R., Davydova, N., Fliervoet, T., and Neumann, J.T., “Actinic characterization and modeling of the EUV mask stack,” Proc. SPIE 8886, 19 (2013).

20 Luong, V., Philipsen, V., Hendrickx, E., Opsomer, K., Detavernier, C., Laubis, C., Scholze, F., Heyns, M., “Ni-Al alloys as alternative EUV mask absorber,” Appl. Sci. (8), 521 (2018).

21 Shin, J., Waheed, A., Agapiou, K., Winkenwerder, W.A., Kim, H.W., Jones, R.A., Hwang, G.S., Ekerdt, J.G., “Growth of ultrathin films of amorphous ruthenium-phosphorus alloys using a single source CVD precursor,” J. Am. Chem. Soc. 128 (51), 16510 (2006).

22 Wu, C.Y., Lee, W.H., Chang, S.C., Cheng, Y.L., Wang, Y.L., “Effect of annealing on the microstructure and electrical property of RuN thin films,” J. Electrochem. Soc. 158 (3), H338 (2011).

23 Devulder, W., Opsomer, K., Minjauw, M.M., Meersschaut, J., Jurczak, M., Goux, L., Detavernier, C., “Study of amorphous Cu-Te-Si thin films showing high thermal stability for application as a cation supply layer in conductive bridge random access memory devices,” RSC Adv. 6, 32106 (2016). A detailed report on the crystallization kinetics will be covered in a forthcoming paper of K. Opsomer, et al.

24 Klein, R., Scholze, F., Thornagel, R., Tummler, J., Wedowski, M., Jansen, R., Mertens, B., van de Runstraat, A., Ulm, G., “Irradiation of EUV multilayer optics with synchrotron radiation of a different time structure,” Proc. SPIE 4782, 292 (2002).

25 Philipsen, V., Luong, K.V., Souriau, L., Altamirano-Sanchez, E., Adelmann, C., Hendrickx, E., Scholze, F., Laubis, C., Kruemberg, J., Reuter, C., “Single element and metal alloy novel EUV mask absorbers for improved imaging,” Proc. SPIE 10450 (2017), International Conference on Extreme Ultraviolet Lithography 2017.

26 Kolics, A., “Electroless technology for the upcoming challenges in interconnect metallization,” ECS Transactions 60 (1), 431 (2014).

Referenties

GERELATEERDE DOCUMENTEN

Uit de berekeningen die zijn uitgevoerd voor de A9 blijkt dat er vanwege het plan (aanleg van de nieuwe aansluiting op de A9) op negen referentiepunten de

Voor het beoordelingscriterium ‘Invloed op de landschappelijke structuur' geldt dat deze in zekere zin meer een rela� e aan gaat met alleen het hoogste niveau, het

‘Omdat het kan’ zeggen we wanneer we dingen doen die niet zozeer goedgekeurd worden door anderen, maar die ons wél ongelofelijk blij en tevreden maken – dingen die we diep

Deze deelgebieden zijn: woonomgeving (alleen vermeld in enquêtes voor de cliënten van somatiek), leefklimaat, dienstverlening, verzorging, behandeling, begeleiding,

Wie anderstalig is, geboren werd in een gezin waar één van de ouders zonder werk zit, linkshandig is, niet naar de crèche ging, geen erfenis zal krijgen, vrouw is, niet één keer per

– Danku Koor & Stem, danku alle koren voor het aanbod – Video expertise nodig (Adobe Premiere Pro, …).. “KOORZINGEN IS SAMEN-ZINGEN”. • Geen enkele digitale oplossing komt

Agrico Research wenst de nieuwe kas te realiseren op de huidige plaats met een uitbreiding van het erf in de lengterichting van ca.. Dit is landschappelijk gezien de minst

Indien de koper voor de datum van ondertekening van de akte van levering in staat van faillissement wordt verklaard, surséance van betaling heeft gekregen of wanneer ten aanzien