• No results found

Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

N/A
N/A
Protected

Academic year: 2021

Share "Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics"

Copied!
14
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

APPLIED PHYSICS REVIEWS—FOCUSED REVIEW

Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

QiushiHuang,1,2ViacheslavMedvedev,3,4Robbertvan de Kruijs,1AndreyYakshin,1

EricLouis,1,a)and FredBijkerk1

1Industrial Focus Group XUV Optics, MESAþInstitute for Nanotechnology, University of Twente,

P.O. Box 217, 7500 AE Enschede, The Netherlands

2

Key Laboratory of Advanced Micro-Structured Materials MOE, Institute of Precision Optical Engineering, School of Physics Science and Engineering, Tongji University, Shanghai 200092, China

3

Institute for Spectroscopy, Russian Academy of Science, Fizicheskaya Str. 5, Troitsk, Russia

4

ISTEQ, High Tech Campus 84, 5656 AG Eindhoven, The Netherlands

(Received 23 November 2016; accepted 14 February 2017; published online 21 March 2017) Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant devel-opment over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer mono-chromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new free-dom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advan-tages and disadvanadvan-tages, respectively. Multilayer diffraction optics are also developed for spec-tral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement of the spectral tailoring multilayer optics, the remaining challenges and opportunities for future researches are discussed.VC 2017 Author(s). All article content, except

where otherwise noted, is licensed under a Creative Commons Attribution (CC BY) license (http:// creativecommons.org/licenses/by/4.0/). [http://dx.doi.org/10.1063/1.4978290]

TABLE OF CONTENTS

I. INTRODUCTION . . . 2

II. PLANAR MULTILAYER OPTICS FOR SPECTRAL TAILORING. . . 2

A. Multi-channel multilayer mirror . . . 2

B. Broadband multilayer mirror . . . 3

1. Broadband multilayer polarizer . . . 4

2. Broadband multilayer for high temporal resolution . . . 4

C. Narrowband multilayers . . . 5

D. High spectral purity ML mirrors . . . 5

1. UV anti-reflection . . . 5

2. IR antireflection . . . 6

III. THREE DIMENSIONAL MULTILAYER OPTICS . . . 7

A. XUV spectrometer/monochromator based on multilayer gratings. . . 7

1. Multilayer coated gratings . . . 7

2. Sliced multilayer grating . . . 8

3. Single order lamellar multilayer grating . 8 B. Three dimensional multilayer diffraction optics for spectral purity enhancement . . . 9

1. Blazed grating based SPF. . . 9

2. Lamellar grating based SPF . . . 9

a)

Electronic mail: e.louis@utwente.nl

(2)

3. Multilayer zone plate for OoB recycling . 10 4. Diffraction pyramids . . . 10 IV. PROSPECTS . . . 11 I. INTRODUCTION

Most progress in modern science relies on observing and manipulating matter at the molecular or atomic scale. This requires probing tools with relevant resolution in space, energy, and time. Light is one of the most powerful tools to open up the “nanoworld” due to its resolving ability that scales up with shorter wavelength. Particularly interesting is the extreme ultraviolet and soft x-ray wavelength range (XUV), with a wavelength from several tens to few tenths of nanometers and a photon energy of tens of electronvolts to several kilo-electronvolts. The short wavelength enables imaging and manufacturing at the nanometer scale,1,2while the high photon energy makes it a unique tool to identify the composition3 or capture ultrafast processes in matter.4 To realize this, light needs to be controlled in an exquisite way. XUV light of different wavelengths has to be selected and transported with the desired characteristics through the use of high precision XUV optics.

A multilayer (ML) or multilayer interference coating is a vital optical element in the XUV region. It consists of a periodic layer structure with each layer thickness being only a few nanometers, according to the Bragg condition. Through constructive interference of the reflection from dif-ferent interfaces, it enables the reflection of the short wave-length light at non-grazing angles of incidence. Driven by demanding applications like EUV photo-lithography, accel-erator based XUV sources, astronomy telescopes, and soft x-ray microscopy, tremendous progress of the multilayer technology has been achieved during the last three decades. Both high reflectance and flexible spectral response were realized for different wavelength regions. A detailed discus-sion on the multilayer physics and deposition techniques can be found in the review papers.5–9Here, we mainly focus on methods to tune and manipulate the spectral properties of multilayer optics.

Conventional periodic multilayers can only work in a nar-row wavelength range at a specific incidence angle.9Its band-width is inherently limited by the saturated number of bilayers.10 To support some advanced XUV applications, spectral properties, including broadband response, high spec-tral resolution, mitigation of out-of-band (OoB) specspec-tral com-ponents, etc., are required. These can involve the need for a particular polarization or phase characteristics. For example, broad wavelength band reflection is needed to increase the integral flux or extend the reflection band. A broad angular reflection is necessary for high numerical aperture (NA) opti-cal systems. On the other hand, high spectral resolution is cru-cial to study the elemental or atomic structure of matter using spectroscopic techniques. Suppressing out-of-band radiation in the background is essential for spectroscopy and imaging applications, so that detection sensitivity and resolution are not degraded. To provide the required spectral response, the standard, one dimensional periodic multilayer structure can be modified to many different layered schemes or combined with

three dimensional structures. This paper will discuss the recent progress of multilayer optics with tailored spectral properties that can strongly promote the development of many EUV and soft x-ray applications.

II. PLANAR MULTILAYER OPTICS FOR SPECTRAL TAILORING

It is straightforward to overcome the narrow spectral band limitation of a periodic multilayer by converting it to a structure with more than a single periodicity. Combined with the proper choice of materials, different parts of the multi-layer can respond to different wavelengths. This provides a solution to various spectral requirements of the applications, like multi-band or broadband reflectivity, high spectral purity, and so on.

A. Multi-channel multilayer mirror

The ability to work with multiple wavelength bands with a single optical component is desirable for many appli-cations. This feature is widely applied in astronomical obser-vations, e.g., a multilayer coated crystal was used to collect both the soft x-ray and hard x-ray emission lines simulta-neously.11For solar physics12and earth’s plasmasphere stud-ies13in the EUV region, a series of discrete lines needs to be detected by the telescope. A single mirror with multiple reflection bands, or channels, is a great advantage in space missions since the mass of the optics can be reduced signifi-cantly. A common method is to coat different sectors of the mirror with different multilayers corresponding to the target wavelengths.14 In this case, the throughput of each wave-length channel is limited by the sector area. A multilayer structure with a multi-band response is a good alternative. Different Bragg orders of a periodic multilayer can be directly used to achieve this if the wavelengths of various emission lines match the different orders.15This concept was applied in a triple-band Mg/SiC multilayer by Fernandez-Pereaet al.: the first three Bragg peaks were optimized for 76.9 nm, 46.8 nm, and 33.1 nm light, respectively, as shown in Figure 1.16A stack of multilayers with different periods can be used to reflect at several wavelengths17or to further enhance the reflectance of each channel.18A buffer layer can be inserted in between different periodic multilayers to tune

FIG. 1. Experimental reflectance of a triple band Mg/SiC multilayer working in the 25–80 nm region. (Reprinted with permission from Fernandez-Perea et al., Opt. Express20, 24018 (2012). Copyright 2012 OSA Publishing.)16

(3)

the Bragg peak positions further. This hybrid structure was demonstrated in the work of Hecquet et al. to collect the emission lines from Fe and He in the range of 17.1–33.5 nm where the 1st order Bragg peak can be shifted by5 nm.15 For longer wavelengths, less bilayers are needed to reflect the light so that the top multilayer (optimized for the longer wavelength) can be reduced to a single layer.19

A similar structure as described above can be used to further suppress the background radiation at other wave-lengths. For instance, the buffer layer method can introduce extra reflectivity minima using the interference effects between the top and bottom stacks.15 A different scheme based on enhanced absorption was developed by Suman et al.20 In this scheme, a capping layer structure consisting of absorbing and spacing layers was added on top of the mul-tilayer. The high reflectance periodic ML generates a stand-ing wave in the structure for both the target wavelength and the wavelength of the background radiation. If the capping layers are designed such that for the unwanted wavelength, the antinode of the standing wave is very close to the absorb-ing layer (inside the cappabsorb-ing structure), the absorption will be enhanced and a high suppression can be achieved.20,21 This principle is very suitable to reject features adjacent to the peak wavelength. A high reflectance at 28.4 nm (Fe-XV line) with strong suppression at around 32.5 nm has been demonstrated using a periodic Mo/Si multilayer with a Mo/ Si capping layer structure, as shown in Figure 2.20 More methods for spectral purity enhancement will be discussed in Section II D. Apparently, a fully aperiodic multilayer with optimization of each layer thickness can also be designed as a multi-channel mirror, although it will introduce difficulties in fabrication.22,23 Aperiodic or depth-graded multilayer designs are more suitable and powerful to fabricate broad-band mirrors.

B. Broadband multilayer mirror

Different from the multi-channel mirror, in which high (or low) reflectivity is obtained at specific wavelengths, a broadband multilayer structure provides high reflectivity over a continuous wavelength or angle range. This is crucial for applications that require a wide operational wavelength band, high integral flux, and for optical systems with a high numerical aperture (NA). The broadband response can be realized by aperiodic or depth-graded multilayers. In this case, light of different wavelengths is reflected at different depths in the stack. Similarly, monochromatic light is reflected over a range of incident angles. Several approaches to obtain such depth-graded structures were considered theo-retically, based on numerical optimization24–27or a combina-tion of analytical designing and numerical optimizacombina-tion.28–31 In both methods, solving the so-called inverse problem is usually required as the final step, which consists of the mini-mization of a certain merit function that characterizes the deviation of the calculated reflectivity profile from the desired one. In this procedure, the thicknesses of the layers are considered as variables, and a set of layer thicknesses will be found that provides a sufficiently deep minimum of the merit function used. This scheme has been extensively applied in the soft x-ray and EUV region to increase both the angular and wavelength bands.32–38Note that the increase of the reflection bandwidth is unavoidably connected to a decrease of the maximum reflectivity, due to the fact that the layer thicknesses do no longer perfectly match the interfer-ence conditions. Furthermore, the absorption in the layers can be enhanced for different wavelengths/angles. For instance, EUV reflectivity in the range of 50%–60% was achieved atk ¼ 13.5 nm for the incidence angles from 0 to 16 (Ref. 37), while 70% reflectivity can be obtained for periodical ML stacks. An even larger angle range from 0 to 20 is possible although that resulted in a reduction of the reflectivity to about 30%–36%.34,35

A realistic layer structure has to be taken into account during the design of such a broadband multilayer, including interlayer formation, a variation of the layer density, effects of local crystallization, etc, in order to achieve the desired optical response.37 Among these factors, the naturally formed interlayer between the main pair of constituent mate-rials is a dominant issue. These interlayers act effectively as additional layers which can obviously deform the reflectivity profile of the ideal layered structure. The “real structure” design method was demonstrated in the work of Refs.36and

37 in which, respectively, a broadband EUV mirror (12.7–15.6 nm) for 45 incidence angle and a 13.5 nm EUV mirror for 0–16 incidence angle were successfully fabri-cated. In the latter work, 0.8 nm thick Mo5Si3 and MoSi2 interlayers were introduced at the boundaries between Mo and Si in the design, according to the previous characteriza-tion. An interface roughness of 0.2 nm was assumed. The designed layer thicknesses of Mo and Si and the experimen-tally achieved reflectivity profile are displayed in Figures

3(a)and 3(b), respectively. The experimental reflectivity is very close to the design value.37The layer thickness varia-tion of a broadband multilayer should also be minimized

FIG. 2. Experimental (symbols) and designed reflectance results (lines) of a high spectral purity Mo/Si multilayer with an optimized capping layer struc-ture. (a) is on a linear scale while (b) is on a logarithmic scale. (Reprinted with permission from Sumanet al., Appl. Opt.48, 5432 (2009). Copyright 2009 OSA Publishing.)20

(4)

during the design. This is not only for easy thickness control in the fabrication, but also to keep the internal layer structure the same over the whole stack, and thus close to the design. This issue was solved by Kozhevnikov et al. using a new merit function including a factor to constrain the layer thick-ness variation.39 Broad angle multilayers providing an almost constant reflectivity of 50% in the 0–16 interval of incidence angle (k ¼ 13.5 nm) were designed with a layer thickness variation not exceeding 0.39 nm.39

1. Broadband multilayer polarizer

The wide bandpass of the depth-graded multilayer can be further combined with polarization control. A periodic multilayer working at the quasi-Brewster angle provides a high degree of polarization, and a phase shift between the s-and p-polarized light can be introduced when the multilayer Bragg peak is designed near 45for both reflection and trans-mission geometries.40–42 Therefore, multilayers are com-monly used as a polarizer or phase retarder in the XUV region.43–47To extend the working bandwidth of polarizers in applications, the aperiodic multilayer system can be used as an alternative for the double-polarizer scheme48or the lat-erally graded multilayer.49The polarization degree or phase shift has to be taken into account in the merit function during multilayer design to achieve the broadband effect.50–52 A high polarization degree of up to 98.7% with an average reflectance for s-polarized light of 5.5% to 6.1% has been

demonstrated over the wavelength range ofk ¼ 8.5–11.7 nm by Wang et al. An aperiodic Mo/Y multilayer was used in this experiment and the results are shown in Figure 4.52A multilayer transmission phase retarder with 42 phase shift in the range ofk ¼ 13.8–15.5 nm was also realized using Mo/ Si multilayers.50

2. Broadband multilayer for high temporal resolution

The broad wavelength band multilayer is also vital for studying ultrafast time-resolved phenomena. It helps to gen-erate attosecond (1 as¼ 1018 s) pulses which enable the observation of electron dynamics in atoms or molecules.4 Such ultrashort pulses are produced by high harmonics gen-eration (HHG) sources that are based on the nonlinear inter-action of a femtosecond laser with noble gases53 or solid materials.54 According to the Fourier transform theory, the shortest possible pulse length Ds is limited by its spectral bandwidthDE as55

Ds  DE  1:8 eV  fs: (1)

Therefore, the selection and transportation of attosecond pulses demand optics with a broadband response. Moreover, the phases of different frequencies within the pulse, u(x), have to be aligned to remove any group-delay dispersion (GDD¼ u00ðxÞ), also referred as chirp, in order to reach the bandwidth-limited pulse duration.55

An aperiodic multilayer can be optimized to tailor both the spectral and temporal properties of the pulse due to its very flexible design structure. Besides the broad bandwidth with a specific reflectance profile as mentioned above, a lin-ear or non-linlin-ear phase response can be achieved.56,57 The latter one is based on the different penetration depth inside the multilayer for different frequency components of the incoming light, so that a negative or positive chirp can be achieved to compensate the intrinsic chirp among the har-monics and further compress the pulse.55,58 Based on this idea, aperiodic chirped multilayers were first designed with

FIG. 3. The design layer thickness distribution (a) and the design (green line) and the measured (red dots) reflectivity curve (k ¼ 13.5 nm) (b) of a Mo/Si multilayer mirror. (Reprinted with permission from Yakshinet al., Opt. Express18, 6957 (2010). Copyright 2010 OSA Publishing.)37

FIG. 4. Measured polarization degree P (a) and s-polarized reflectance Rs

(b) of three Mo/Y multilayer analyzers in the wavelength region of 8.5–11.7 nm. A and B are aperiodic multilayers; C is a periodic multilayer for comparison. (Reprinted with permission from Wanget al., Appl. Phys. Lett.89, 241120 (2006). Copyright 2006 AIP Publishing.)52

(5)

the desired phase characteristics and for these designs an attosecond-level pulse duration was predicted.57,59With the advancement of the deposition techniques and various meth-ods of phase measurements, significant progress has been achieved in chirped multilayer mirrors over the past few years.60 Short pulses with 170–130 as duration have been demonstrated in the region of 75–105 eV using Mo/Si multi-layers with a reflectivity of 5%–10%.61,62Dispersion control above 100 eV can be achieved using different multilayers like Mo/La63 and Mo/B4C58 to avoid a discontinuous response at the Si-L absorption edge (100 eV). An extremely short pulse duration of sub-50 can be achieved by using a Mo/B4C/Si/B4C aperiodic multilayer with the spectrum from 20 to 112 eV, as shown in Figure5.64This technology is fur-ther extended to the “water window” region with higher pho-ton energies using a Cr/Sc chirped multilayer.38A first phase measurement of the soft X-ray multilayer mirror has also been demonstrated recently using photocurrent measure-ments near 360 eV.65Compared to a pulse compressor based on filters, the aperiodic ML can be applied to a broader spec-tral range with higher integral efficiency.60,66

Compared with the broadband reflectance mirror dis-cussed above, any structural imperfections, like layer thick-ness deviation, interlayer formation, surface oxidation, etc., are even more critical for usage. This is because the phase-shift is more sensitive to these imperfections than the reflec-tivity since it will deteriorate the pulse duration and shape.57

C. Narrowband multilayers

Multilayers with a narrow spectral bandwidth find use in monochromators. These primarily aim to cover the gap in spectral resolution between a regular high reflectance multi-layer mirror (DE/E ¼ 2%) and a natural crystal (DE/ E 104) monochromator, so that experiments like micro-imaging,67 fluorescence analysis,68 and crystallography68 can be performed with much higher flux at an adequate reso-lution. The multilayer bandwidth can be reduced by several methods: using small d-spacing, low optical contrast materi-als, or high reflection orders in order to increase the number

of bilayers that participate in the Bragg reflection. High reso-lution multilayers used in the x-ray region were developed by Platonov et al.,69 Morawe et al.,70 and Rack et al.,67,71 while a spectral resolution of 0.2%–0.5% has been achieved. In the EUV region, a small thickness ratio (absorption layer to period thickness ratio) and high Bragg orders were often used and a small bandwidth down to 0.077 nm atk ¼ 13.5 nm has been demonstrated.72,73Nevertheless, all these methods result in a loss of peak reflectance already in theory com-pared to a regular multilayer mirror. In the section of three dimensional multilayers, an alternative method with both a small bandwidth and a high reflectance will be discussed.

D. High spectral purity ML mirrors

Apart from reflecting the particular XUV wavelength region the multilayer is designed for, it also reflects longer wavelength due to the large optical contrast of materials. Sources like lasers or discharge produced plasmas, solar sources, and high harmonic generation sources all basically have a broadband emission spectrum. They contain out-of-band (OoB) components that extend into the UV, visible, or even infrared region. This light can be reflected by a single layer and is difficult to be filtered out by a standard multi-layer mirror. For instance, the EUV telescope for solar obser-vation has to reject certain longer wavelength emission lines74,75 or the whole range from UV to visible light76 to block the background. High harmonic sources require dedi-cated optics to select specific XUV spectral components, while rejecting the drive laser light and low orders of har-monics.77,78The spectral purity of EUV plasma sources, and the mitigation of UV and IR have actually become two of the challenges in the development of EUV photo-lithogra-phy.79 A multilayer mirror combined with different filters can be used to improve the spectral purity,80–82but it usually has a poor EUV transmission and a free-standing filter might be prone to damage. Recently, several new schemes of spec-tral purity filters (SPF) integrated with multilayer structures have been developed which show a high suppression factor at the unwanted wavelengths at much higher EUV efficiency.

1. UV anti-reflection

A common method to suppress the reflectivity at a cer-tain wavelength is to use an anti-reflection coating (ARC). It is based on the destructive interference of the reflections from the top and bottom of the ARC. If the two reflections have equal amplitude and opposite phase, the unwanted radi-ation will be transmitted into the substrate instead of reflected back. In this case, thick substrates can be used that will also make the thermal problem easier to deal with com-pared to thin transmission filters.83

Antireflection coatings tailored for the (deep) UV wave-length range are applied in different cases, for lithography systems, high power lasers,84 or solar cell applications.85,86 To achieve the desired refractive index or index profile, spe-cial materials with a tailored composition or nanostructures were developed.87For EUV lithography systems, a UV ARC is considered as part of the high reflectance multilayer coated

FIG. 5. (a) A typical pulse profile of the attosecond source (solid curve) and the associated Fourier transform limited pulse (dashed curve); (b) pulse pro-file after compression by a Mo/B4C/Si/B4C multilayer chirped mirror.

(Reprinted with permission from Bourassin-Bouchetet al., New J. Phys.14, 023040 (2012). Copyright 2012 IOP Publishing.)64

(6)

optics.88 The challenge in designing and engineering UV ARCs specifically for that purpose lies in the fact that the EUV transmission (e.g., around 13.5 nm) cannot be compro-mised. This limits the available range of materials for the antireflection coatings to those with very low absorption in the EUV range.

Several endeavors have been made to demonstrate such EUV ARCs. For the 100–200 nm wavelength range, the opti-cal properties of Si3N4are favorable for a single layer ARC design and the development of a 7 nm-thick Si3N4on top of a high reflectance Mo/Si multilayer has been described in Ref.88. The relatively weak absorption of Si3N4at 13.5 nm limits the loss of EUV reflectivity to only 4%, while reduc-ing the UV reflectivity by a factor of 5.

For the wavelength range above 200 nm, there are no materials readily available with low UV reflectivity and high EUV transparency. Huber et al. describes a numerical approach to derive optimal optical constants and layer thick-ness for an ARC applied on top of a Mo/Si multilayer, in order to obtain full suppression of reflectivity in the UV wavelength region. An experimental optimization of the ARC material composition was also presented to obtain the designed optical constants.89 A proof of principle Mo/Si multilayer with a Si0.52C0.16N0.29 ARC on top shows 50% reflectance at 13.5 nm with a factor of200 suppression of the reflectivity at 285 nm, as depicted in Figure 6. Development of predictive models for the optical constants of the ARC material will be a key issue in further develop-ment of UV anti-reflection coatings for EUV applications.

2. IR antireflection

The wavelength of the OoB radiation can even extend into the infrared region, as can be the case in an Extreme Ultraviolet Photolithography (EUVL) tool or with high har-monic generation sources.78,79For instance, the typical laser produced Sn-plasma source used in EUVL has a wide spec-trum which also includes a large amount of infrared power from the drive laser (k ¼ 10.6 lm) scattered by the tin plasma. This IR light will be highly reflected by the metal in the multilayer mirror and propagated into the optical system causing heat load problems.90 Several methods have been proposed to block the IR transmission including a foil fil-ter,82a grid filter,91or a gaseous filter.92Grating based EUV reflectors can also be used to separate the IR and EUV light93–95which will be further discussed in SectionIII. An

antireflection coating has been pursued as a straightforward method without adding new optical elements. For this appli-cation, the design described in SectionII D 1is not applica-ble because the IR requires a thick AR layer on top of the EUV mirror, and that will heavily absorb the EUV light. However, IR transparent materials can be used to construct the EUV multilayer, which can form the top part of a hybrid antireflection optics.

Several designs of such hybrid optics have been pro-posed.96–98 Soer et al. gives the first proof of principle by using diamond-like carbon and silicon as IR transparent mate-rials for the EUV reflective ML on top of an ARC which pro-vided a reflectance of 42.5% and 4.4% for EUV and IR light, respectively.96 A more elegant design was developed by Medvedev et al.,98 of which the layer structure is schemati-cally shown in Figure7. The top periodic multilayer acts as a Bragg reflector for EUV radiation and at the same time it forms an IR antireflection coating together with a metal layer underneath. The top multilayer stack is effectively perceived by the incident IR as a homogeneous medium. In this case, the intensity of the wave reflected by the entire structure is governed by the interference of the reflection from the multi-layer surface (R1) and from the multimulti-layer/metal interface (R2). If the total thickness of the top multilayer is optimized to introduce a 180 phase shift between R1 and R2, a near-zero IR reflectance can be achieved. Compared to the alterna-tive designs,96,97,99such a scheme does not require additional thick (few hundred nm) AR layers, while the choice of the substrate material is also free, e.g., Si, SiO2, or SiC can be used.8 Medvedev et al. experimentally demonstrated the described design for 13.5 nm EUVL optics. In this work, a B4C/Si multilayer was used as the IR transparent multilayer

FIG. 6. Calculated (solid lines) and measured (symbols) EUV (a) and UV (b) reflectance of a Mo/Si multilayer mirror without (red markers) and with a 20 nm film of Si0.52C0.16N0.29on top

(blue markers) (Reprinted with permis-sion from Huber et al., Opt. Express 22, 490 (2014). Copyright 2014 OSA Publishing.)89

FIG. 7. Schematic design of a hybrid multilayer coating combining high reflectance at an EUV wavelength with the antireflection effect at another, longer wavelength. (Reprinted with permission from Medvedevet al., Opt. Lett.37, 1169 (2012). Copyright 2012 OSA Publishing.)98

(7)

Bragg reflector. A Mo film of 10 nm thickness was applied in between the Si substrate and the B4C/Si stack. An EUV peak reflectance of 45% was measured together with an IR suppression by more than two orders of magnitude (Figure

8).98 Similar designs based on LaN/B and LaN/B4C were also proposed for a possible lithography system operating at about 6 nm wavelength.99

III. THREE DIMENSIONAL MULTILAYER OPTICS

Besides the various layered schemes, there is a second way to overcome the limited spectral response of a regular multilayer and meet the demands from some advanced appli-cations. That method consists of making three dimensional structured multilayer optics. Micro- or nano-structures like gratings, zone plates, or holograms are known to disperse, focus, or image light. Combining such diffractive structures with a standard multilayer structure will provide a more flexi-ble way to select the different wavelengths and modify the responses, especially compared to the limitation of tailoring the optical constants of a thin film. In this way, ultrahigh spec-tral resolution, high specspec-tral purity with high EUV efficiency, and accurate control of the amplitude and phase of an XUV pulse can be realized. With the rapid development of nanopat-terning and -fabrication technologies, significant progress of the micro- or nano-structured multilayer optics has been made. This will be discussed in SectionIII AandIII B.

A. XUV spectrometer/monochromator based on multilayer gratings

1. Multilayer coated gratings

The multilayer coated grating was first proposed in the 1980s, initially driven by the demand for a normal incidence EUV spectrometer for astronomy.100Compared to the single layer coated grating, its benefits are manifold: orders of mag-nitude higher efficiency (for a normal incidence EUV spec-trometer and a grazing incidence soft X-ray monochromator), less imaging aberration, less stringent requirement on the sub-strate due to the reduced size, and higher spectral resolu-tion.101,102Thus, multilayer gratings have been widely applied in astronomical observations103 and soft x-ray imaging and

spectroscopy experiments, either in synchrotron beam-lines101,104,105 or in electron microscopes.106,107 A lamellar phase grating coated with a multilayer, also named as alter-nate multilayer grating (AMG), is an example.105Its absolute diffraction efficiency has reached up to 27% (Ref.104) and 47% (Ref. 106) at E¼ 2.2 keV and 6 keV, respectively. To further improve the efficiency, a multilayer blazed grating (MBG) has to be used since its maximum groove efficiency (grating efficiency normalized by the corresponding multi-layer reflectivity) in theory can reach 100% which cannot be realized with an AMG.108,109 However, the challenge is the fabrication of the sharp and smooth triangular grooves with a perfect multilayer coating on top. Seely et al., cooperating with Carl Zeiss in Germany, have made remarkable progress on MBGs based on holographic pattering and ion etch-ing.102,110–112An absolute diffraction efficiency of 30% (with a groove efficiency of 53%) was demonstrated using a Mo2C/ Si coated blazed grating atk ¼ 15.79 nm.108

To further reduce the discrepancy between the mea-sured efficiency of MBG and theory, the groove profile needs to be improved. An anisotropic chemical etching process of crystalline silicon is a promising method to make ideal blazed facets.113–115With a large groove den-sity and high diffraction orders, an ultrahigh spectral reso-lution and high efficiency grating can thus be realized. This is of particular interest for advanced spectroscopy techni-ques such as resonant inelastic x-ray scattering (RIXS) and angle-resolved photoemission spectroscopy (ARPES), which require a resolving power of 10 000–100 000.116–118 Voronov et al. have made significant development in high groove density (small period) MBGs.119–123 A record of 52% diffraction efficiency at k ¼ 13.4 nm was achieved using the 2nd order of a Mo/Si coated MBG with a groove density of 2525 lines/mm (Figure9).121A 10 000 line/mm MBG with 13.2% efficiency at normal incidence was dem-onstrated atk ¼ 19.2 nm.122One of the hurdles in develop-ing this gratdevelop-ing is that the small period saw-tooth profile can be smoothened by the growth of a high reflectivity multilayer which severely decreases the efficiency.124–126 A new deposition process needs to be developed to solve this issue.

FIG. 8. High EUV reflectance (a) with suppressed IR reflection (b) from a 100-bilayer (B4C/Si) periodic

multi-layer on top of 10 nm Mo on a crystal-line Si substrate.98

(8)

Besides the multilayer coated gratings, two other struc-tured multilayer gratings were developed providing high res-olution and high efficiency: the sliced multilayer grating (SMG) and the single-order lamellar multilayer grating (SLMG). These two types of gratings will be discussed in the following subsectionsIII A 2 andIII A 3. A unified ana-lytical theory based on a coupled wave approach was devel-oped by Kozhevnikov et al. to describe the efficiency of these types of gratings (including the MBG) and understand the relationship between structural parameters and effi-ciency.127 The basic theory was further extended with numerical solutions to analyze wideband multilayer gratings in the EUV range.128

2. Sliced multilayer grating

A sliced multilayer grating (SMG) uses the cross-section structure of a periodic multilayer as the diffraction grating (Figure10).129It can be made by asymmetric cutting and sur-face polishing of a multilayer. The periodicity of the layer structure acts as the grating, while the period is determined by the layer d-spacing and the cutting angle. Thus, it can reach a much smaller period compared to the lithography-made gra-tings, and correspondingly results in a very high angular disper-sion. This is useful for XUV monochromators or ultrafast pulse shapers.130If the grating equation and multilayer Bragg condi-tions are satisfied simultaneously, it can provide a very high efficiency.127,131,132 An SMG can be used in both

reflection130–134and transmission mode,135–137while the reflec-tion SMG is essentially similar to an ideal multilayer coated blazed grating, as shown in Figure10. A Mo/Si based SMG with 2020 bilayers and a corresponding grating density of 19 700 line/mm was fabricated by Bajtet al., showing a mea-sured absolute efficiency of 51.4% at k ¼ 13.2 nm.130 The achieved efficiency is similar to the best result of an MBG.121 To further increase the collection aperture and the resolving power of a single cut SMG, one can deposit the multilayer on a saw-tooth substrate and then polish the surface to a flat sur-face, so that the grating area is much increased by the repeti-tive facets of the substrate.138 This method was recently improved by Bajtet al. and a 27 060 line/mm multilayer grat-ing was fabricated on a saw-tooth substrate achievgrat-ing 30% dif-fraction efficiency.139

3. Single order lamellar multilayer grating

The single order lamellar multilayer grating (SLMG) is based on forming deep grating structures into the multilayer (Figure 11). In this case, part of the material is removed resulting in less XUV absorption. Therefore, more bilayers contribute to the Bragg reflection process, which accordingly reduces the bandwidth.140–142In the first designs, the deep lamellar grating was etched into the multilayer without strict requirements on the grating period and the lamella width. Although the 0th order bandwidth was reduced by a factor of two to five,143–145the achieved efficiency was also reduced

FIG. 9. Transmission electron microscopy images of the cross section of a 2525 lines/mm blazed grating coated with a 40 bilayer Mo/Si multilayer. (Reprinted with permission from Voronovet al., Opt. Lett.39, 3157 (2014). Copyright 2014 OSA Publishing.)121

FIG. 10. A schematic sketch of the sliced multilayer grating (a) and a SEM image (b) of the surface layers of the extended asymmetric-cut Mo/Si multi-layer grating.130,139 (Reprinted with

permission from Bajtet al., J. Opt. Soc. Am. A29, 216 (2012). Copyright 2012 OSA Publishing; and Prasciolu et al. Opt. Express 23, 15195 (2015). Copyright 2015 OSA Publishing.) FIG. 11. SEM image of a single-order LMG with a grating period of 200 nm and a lamella width of 60 nm. The depth of the lamellas is 1lm. (Reprinted with permission from Van der Meeret al., AIP Adv. 3, 012103 (2013). Copyright 2013 AIP Publishing.)149

(9)

by 38% to even 85% relative to a standard ML mirror.143,144 Kozhevnikovet al. then identified the single-order operating regime for the multilayer grating.146,147In this scheme, only one diffraction order will be excited and the reflected power is concentrated in this single order, if the angular width of the zeroth or higher order peak is much smaller than the angular distance between the adjacent orders. Thus, an SLMG can achieve the same maximum reflectance as a stan-dard multilayer mirror, while the bandwidth is reduced by a factor ofC, with C being the lamella-to-period ratio of the grating, assuming that the number of bilayers is increased by a factor 1/C.147This is a unique advantage compared to other methods to reduce the bandwidth of a ML, like using a small d-spacing with low contrast materials or using higher Bragg orders as discussed in SectionII C.

A high quality SLMG with a grating period down to 200 nm and aspect ratio of17:1 (grating depth to lamella width ratio) has been successfully fabricated by Van der Meeret al. (Figure11).148The zeroth order reflectance mea-sured at E¼ 525 eV is only 21% less (relatively) compared to a reference multilayer mirror (W/Si), while a maximum bandwidth reduction of 3.8 times was achieved.149In princi-ple, there is no physical limitation on the ultimate resolution, e.g., a 10 times reduction of the bandwidth would be possi-ble.150 Nevertheless, making the ultra-high aspect-ratio structure and depositing thousands of layers with perfect periodicity would impose a challenging technical task.149,151

Given the fast development of the different types of multilayer gratings with constantly increasing efficiency and line density, a review of some best experimental data of the different multilayer gratings is listed in TableI.

B. Three dimensional multilayer diffraction optics for spectral purity enhancement

The angular dispersion of different wavelengths from 3D multilayer structures provides a natural mechanism to fil-ter out unwanted radiation from various XUV sources. In this section, some recently developed 3D multilayer struc-tures used as spectral purity filters will be discussed.

1. Blazed grating based SPF

Blazed gratings were proposed for XUV spectral purity enhancement both working at grazing and normal inci-dence.153–156 For the normal incidence case, a multilayer coated blazed grating with a medium grating period, e.g., 1lm, can be used. The light from the UV to the IR range can be fully separated from XUV radiation since the XUV light is diffracted at a different angle. This was proposed and developed by Naulleau et al. and Liddle et al. to purify the spectrum for EUV lithography and an absolute EUV effi-ciency of 41% was measured.152,155,156 Unfortunately, the OoB filtering results are not shown in their papers. If the grating period is very large (p> 100 lm), the XUV light will be reflected by the facets of the grating while the longer wavelength light (e.g., IR) is diffracted in another direction. Van den Boogaardet al. proposed to use such a large period blazed grating to eliminate the CO2 driver laser radiation from a laser plasma EUV source.157However, the unavail-ability of a large-period blazed grating with high quality grooves still limits the achieved EUV reflectivity.

2. Lamellar grating based SPF

A lamellar grating is somewhat easier to produce as compared to a blazed grating. With a large period of tens of microns, the XUV light will be concentrated around the zeroth order which ensures a high efficiency. To fully sup-press the zeroth order of the OoB radiation, the grating height must be designed as a quarter of the unwanted wave-length and the top to bottom surface area ratio must be 1:1 (Figure12). Thus, the reflection from top and bottom of the grooves will destructively interfere, and most of the OoB radiation is then diffracted to higher orders.

This type of phase shift grating was used to suppress the reflection of UV light. A 70 nm-height multilayer grating was made by Van den Boogaardet al. which generated a 30 times suppression atk ¼ 280 nm (Fig.13), with an EUV reflectance of 64%.158 The wavelength of maximum suppression can be tuned by changing the grating height. One advantage of the

TABLE I. Overview of the experimentally achieved efficiency of different multilayer gratings.

Type Period (nm) ML k (nm) D.E.a(G.E.)b order References

MBG 333 Mo2C/Si 15.8 30% (53%) 2nd 108 MBG 190 Mo/Si 13.1 44% (71%) 1st 119 MBG 100 Al/Zr 17.2 24% (42%) 1st 122 MBG 396 Mo/Si 13.4 52% (78%) 2nd 121 MBG 1000 Mo/Si 13.4 41% (63%) 1st 152 AMG 420 Mo2C/B4C 0.564 27% 1st 105 AMG 830 Co/SiO2 0.206 47% 1st 106 AMG 830 W/C 0.155 38% 1st 106 LMG 1000 Mo/B4C 0.83 7.5% (62%) 0th 144 SLMG 300 W/Si 2.36 7.5% (78%) 0th 149 … 0.99 22.5% SMG 50.7 Mo/Si 13.2 51.4% (95%) 1st 130 SMG 36.9 Mo/Si 13.2 29.7% 1st 139 (59%)

aD.E. is the absolute diffraction efficiency. b

(10)

grating methods is that most the OoB power is diffracted to other directions instead of being absorbed by the multilayer or substrate, as is the case for an anti-reflection coating. This can be beneficial to avoid heat loads.

The phase shift lamellar multilayer grating was also used to suppress IR light. In this case, the grating period can be much larger, e.g., hundreds of microns, so that the EUV light is simply reflected by the grating terraces. Such a phase shift multilayer grating was demonstrated by Medvedev et al. for the IR suppression at k ¼ 10.6 lm.93 The grating has a period of 100lm which shows a 70 suppression of the reflection of IR light combined with an EUV reflectance of 61%.93Given the high EUV efficiency and the relatively simple implementation, this method was applied in the col-lector mirror for EUV lithography by Trostet al. and Kriese et al.94,95

3. Multilayer zone plate for OoB recycling

For the above mentioned phase shift grating method, the OoB radiation is diffracted away from the EUV light but still within the main optical system. If the OoB radiation is very strong, as is the case of scattered IR light from the drive laser in an EUV laser plasma source, it may still induce heating of the optical system. It is therefore more efficient to re-direct the diffracted IR light back to the plasma79,159 and further

heat it to increase the EUV emission power of the source. This can be achieved by patterning a zone plate structure in the collector surface.

As shown in Figure14, such a zone plate structure for the infrared wavelength is added onto the collector surface and coated with a multilayer structure. As a result, the infra-red light scatteinfra-red to the collector will be refocused back to the plasma source, while the EUV light is still reflected by the multilayer. The 0th order reflection of IR light can be suppressed by optimizing the zone height. A theoretical design of such a structure has been done by Bayraktar et al.160and shows good refocusing properties. A multi-level zone structure can be further introduced to improve the focusing/recycling efficiency.161

4. Diffraction pyramids

Although the phase shift lamellar grating and the anti-reflection coating mentioned above have achieved high effi-ciency in suppressing OoB radiation, the bandwidth of the suppression is still limited due to the principle of destructive interference. A broadband solution can be realized by modi-fying the groove shape of the grating from a rectangular pro-file to a tapered one. In this case, the OoB radiation over a broad wavelength range will be diffracted to higher orders by the tapered facets and the XUV light is still reflected by the overall periodic multilayer. This method was demon-strated by Huanget al.162,163The surface tapered structure can have various forms, e.g., blazed grating shapes or sym-metric pyramids, in one (1D) or two dimensions (2D). It can be made with a single material which is reflective for the OoB radiation and transparent for XUV, or consists of the multilayer structure itself (Figure15).

A detailed optimization of the structural shape can be found in Ref. 162. The first demonstration was given with two dimensional Si pyramids on top of a Mo/Si multilayer. The pyramids with a height of 100 nm were distributed on the multilayer with a periodicity of 26lm. It suppressed the reflectance of the full UV band (k ¼ 100–400 nm) down to below 10% as shown in Figure 16.162 To avoid the XUV absorption in the silicon, a multilayer pyramid structure can be used (Figure 15(b)). In this case, the XUV light is also reflected by the multilayer within the pyramids in principle resulting in a lossless system. The demonstrated multilayer pyramid structure showed almost the same UV suppression as the Si pyramids, and resulted in a high EUV efficiency of 64.7%.163 It is worth noting that there is a common issue with the different multilayer composed grating structures

FIG. 12. A schematic structure of the lamellar multilayer grating based SPF. (Reprinted with permission from Van den Boogaardet al., Opt. Lett.37, 160 (2012). Copyright 2012 OSA Publishing.)158

FIG. 13. UV reflectance measurements of a phase shift grating (circles) and a reference unstructured multilayer mirror (squares) and calculations for the two cases (solid lines). (Reprinted with permission from Van den Boogaard et al., Opt. Lett.37, 160 (2012). Copyright 2012 OSA Publishing.)158

FIG. 14. Schematic design of an infrared refocusing method for an IR-laser produced plasma source, consisting of an IR zone plate structure coated with a multilayer on top of the collector mirror. (Courtesy of M. Bayraktar.)

(11)

that use the zeroth order reflection of XUV light. The XUV diffraction effects cannot be fully neglected if the source is partially coherent. In this case, part of the reflected XUV light will be distributed to the neighboring orders and the far field intensity distribution around the zeroth order has to be taken into account.163

IV. PROSPECTS

As we have reviewed so far, both planar and three dimensionally structured multilayer optics have experi-enced significant development in the past few years. They provide the required spectral response on bandwidth, spec-tral resolution, purity, etc., albeit that not all specifications can be met simultaneously. These optics have tremendously boosted the various XUV applications. Nevertheless, new opportunities as well as challenges exist, not in the least pushed forward by the availability of high brightness sour-ces with high optical quality. New generations of XUV sources, including Diffraction-limited Storage Rings (DLSR),164 Free Electron Lasers (FEL),165,166 High Harmonic Generation sources,167,168 and high power EUV lithography sources, have been or are coming on line. The new DLSR and FEL sources will provide 3–10 orders of magnitude higher brightness with much better coherence than the current generation of storage rings.169To gain the full benefits of these new light sources, the greatly increased photon flux needs to be preserved and an accurate control of the light pulses needs to be achieved to provide the desired spectral/temporal and polarization properties for the different applications.

Multilayer coatings are demanded with extremely high accuracy over the lateral dimension and across the interfaces to match the wavelength or incidence angle and maintain the coherence of the source.170–172For imaging systems, periodic-ity control of the multilayers becomes critical when narrow band sources like FELs are used or in the case of the latest lithography optics with high numerical apertures. Multilayer gratings with both ultrahigh spectral resolution and high effi-ciency are required to resolve the different elementary excita-tions in matters. Pulse shaping techniques used in the XUV region have to be developed to control the full characteristics of the femto- or atto-second pulses.38,58,173–175 Development of some of these optics has begun, but there is much more to achieve which requires innovative solutions and much improvement of the deposition and nanofabrication technolo-gies. Advancement of these high precision optics will enable and push forward a range of frontier techniques, like resonant inelastic x-ray scattering,116 nanoscale spectroscopy,176,177 ultrafast dynamics study,178–180and quantum control.181,182

On the other hand, the extremely bright XUV sources will also cause other problems for the optics, like a limited lifetime. Surface contamination and degradation from carbon and oxygen can be much intensified under intense high energy photon irradiation.7,183Thermal load on the mirrors will accel-erate interdiffusion and phase changes of the materials.184The unprecedented high brightness of a FEL with ultrashort pulses of only tens of femtoseconds can cause surface nano-dot growth,185 structural modification, or even melting of layers.186–190 These will all significantly deteriorate the per-formance of multilayer or single layer optics. Damage resis-tant multilayer mirrors or structures and high efficiency refurbishment techniques are needed to face this challenge.

ACKNOWLEDGMENTS

The authors acknowledge the support of the Industrial Focus Group XUV Optics enabled by the University of Twente, the MESAþ Institute for Nanotechnology, the Province of Overijssel, ASML, Carl Zeiss SMT AG, PANalytical, DEMCON, SolMateS, as well as FOM (Stichting voor Fundamenteel Onderzoek der Materie) and NWO (Nederlandse Organisatie voor Wetenschappelijk Onderzoek) through the Industrial Partnership Programme CP3E, and the EU Programme CATRENE through the ACHieVE project, the support of the Radiometer Laboratory of the Physikalisch Technische Bundesanstalt (PTB), Berlin (Germany), also the support of National Key Research and Development Program of China (No. 2016YFA0401304), National Natural Science

FIG. 15. Schematic design of Si pyra-mids (a) and multilayer pyrapyra-mids (b).162,163 (Reprinted with permission from Huanget al., Opt. Lett.39,1185 (2014). Copyright 2014 OSA Publishing; and Huang et al., Opt. Express 22, 19365 (2014), Copyright 2014 Publishing.)

FIG. 16. Measured UV reflectance of the fabricated Mo/Si ML mirror (square), Si pyramid (triangle), and ML pyramid (circle) systems.

(12)

Foundation of China (No. 11505129), and Shanghai Pujiang Program (No. 15PJ1408000).

1

D. A. Shapiro, Y.-S. Yu, T. Tyliszczak, and J. Cabana,Nat. Photonics8,

765 (2014).

2

C. Wagner and N. Harned,Nat. Photonics4, 24 (2010).

3

A. Sakdinawat and D. Attwood,Nat. Photonics4, 840 (2010).

4

F. Calegari, D. Ayuso, A. Trabattoni, and L. Belshaw,Science346, 336

(2014).

5M. M. Barysheva, A. E. Pestov, N. N. Salashchenko, M. N. Toropov, and

N. I. Chkhalo,Phys.-Usp.55(7), 681 (2012).

6E. Louis, A. E. Yakshin, T. Tsarfati, and F. Bijkerk,Prog. Surf. Sci.86,

255 (2011).

7

S. Bajt, N. V. Edwards, and T. E. Madey, Surf. Sci. Rep. 63, 73

(2008).

8

R. Soufli, S. L. Baker, E. M. Gullikson, T. McCarville, J. C. Robinson et al.,Proc. SPIE8501, 850102 (2012).

9E. Spiller,Soft X-ray Optics (The International Society for Optics and

Photonics, Bellingham, 1994).

10

J. H. Underwood and T. W. Barbee,Appl. Opt.20(17), 3027 (1981).

11

E. Louis, E. Spiller, S. Abdali, F. E. Christensen, H. J. Voorma, N. B. Kosteret al.,Proc. SPIE2515, 194 (1995).

12

J. P. Delaboudinie`re, G. E. Artzner, J. Brunaud, A. H. Gabriel, J. F. Hochedezet al.,Sol. Phys.162, 291–312 (1995).

13J. L. Burch, S. B. Mende, D. G. Mitchell, T. E. Moore, C. J. Pollock

et al.,Science291, 619 (2001).

14P. Boerner, C. Edwards, J. Lemen, A. Rausch, C. Schrijveret al.,Sol.

Phys.275, 41 (2012).

15C. Hecquet, F. Delmotte, M. F. Ravet-Krill, S. Rossi, A. Jerome et al.,

Appl. Phys. A95, 401 (2009).

16M. Fernandez-Perea, R. Soufli, J. C. Robinson, L. Marcos, J. A. Mendez

et al.,Opt. Express20(21), 24018 (2012).

17K. Yamashita, H. Kunieda, T. Tawara, K. Tamura, Y. Ogasakaet al.,

Proc. SPIE3766, 327 (1999).

18

J. Gautier, F. Delmotte, M. Ravet, A. Je`rome, F. Bridouet al., Opt. Commun.281, 3032 (2008).

19

T. Ejima, Y. Kondo, and M. Watanabe,Jpn. J. Appl. Phys., Part 140, 376

(2001).

20M. Suman, M. Pelizzo, D. L. Windt, and P. Nicolosi,Appl. Opt.48, 5432

(2009).

21

A. Corso, P. Zuppella, D. L. Windt, M. Zangrando, and M. G. Pelizzo,

Opt. Express20, 8006 (2012).

22

S. Lunt, R. S. Turley, and D. D. Allred, J. X-Ray Sci. Technol.9, 1 (2001).

23J. Zhu, Z. Wang, Z. Zhang, F. Wang, H. Wanget al.,Appl. Opt.47, C310

(2008).

24

K. D. Joensen, P. Voutov, A. Szentgyorgyi, and J. Roll, Appl. Opt.

34(34),7935 (1995).

25

P. Loevezijn, R. Schlatmann, J. Verhoeven, B. A. Tiggelen, and E. M. Gullikson,Appl. Opt.35(19), 3614 (1996).

26Z. Wang and A. G. Michette,Proc. SPIE4145, 243 (2001).

27Y. Yao, H. Kunieda, H. Matsumoto, K. Tamura, and Y. Miyata,Appl.

Opt.52(27), 6824 (2013).

28V. V. Protopopov and V. A. Kalnov, Opt. Commun. 158(1–6), 127

(1998).

29

A. V. Vinogradov and R. M. Faschenko,Nucl. Instrum. Methods Phys. Res. A448(1–2), 142 (2000).

30

I. V. Kozhevnikov, I. Nbukreeva, and E. Ziegler,Nucl. Instrum. Methods Phys. Res. A460(2–3), 424 (2001).

31Y. Yao, H. Kunieda, and Z. Wang,Opt. Express21(7), 8638 (2013). 32Z. Wang and A. G. Michette,J. Opt. A: Pure Appl. Opt.2, 452 (2000). 33T. Kuhlmann, S. A. Yulin, T. Feigl, N. Kaiser, H. Bernitzkiet al.,Proc.

SPIE4688, 509 (2002).

34

S. Yulin, T. Kuhlmann, T. Feigl, and N. Kaiser,Proc. SPIE5037, 286

(2003).

35

T. Feigl, S. Yulin, N. Benoit, and N. Kaiser,Microelectron. Eng.83(4–9),

703 (2006).

36A. L. Aquila, F. Salmassi, F. Dollar, Y. Liu, and E. M. Gullikson,Opt.

Express14(21), 10073 (2006).

37A. E. Yakshin, I. V. Kozhevnikov, E. Zoethout, E. Louis, and F. Bijkerk,

Opt. Express18(7), 6957 (2010).

38

A. Guggenmos, R. Rauhut, M. Hofstetter, S. Hertrich, B. Nickelet al.,

Opt. Express21(19), 21728 (2013).

39I. V. Kozhevnikov, A. E. Yakshin, and F. Bijkerk,Opt. Express23(7),

9276 (2015).

40J. B. Kortright and J. H. Underwood,Nucl. Instrum. Methods Phys. Res.

291, 272 (1990).

41J. B. Kortright,Proc. SPIE2010, 160 (1993).

42F. Sch€afers, H. C. Mertins, A. Gaupp, W. Gudat, M. Mertin et al.,Appl.

Opt.38(19), 4074 (1999).

43J. B. Kortright, M. Rice, and R. Carr, Phys. Rev. B51(15), 10240

(1995).

44H. Kimura, T. Hirono, Y. Tamenori, Y. Saitoh, N. N. Salashchenkoet al.,

J. Electron Spectrosc. Relat. Phenom.144–147, 1079 (2005).

45M. A. MacDonald, F. Schaefers, R. Pohl, I. B. Poole, A. Gauppet al.,

Rev. Sci. Instrum.79, 025108 (2008).

46H. Wang, S. S. Dhesi, F. Maccherozzi, and K. J. S. Sawhney,J. Appl.

Phys.111, 123117 (2012).

47S. Yamamoto, Y. Senba, T. Tanaka, H. Ohashi, T. Hirono et al.,

J. Synchrotron Radiat.21, 352 (2014).

48M. Yanagihara, T. Maehara, H. Nomura, M. Yamamoto, and T.

Namioka,Rev. Sci. Instrum.63(1), 1516 (1992).

49J. B. Kortright, M. Rice, and K. D. Franck,Rev. Sci. Instrum.66(2), 1567

(1995).

50Z. Wang, H. Wang, J. Zhu, Z. Zhang, Y. Xuet al.,Appl. Phys. Lett.90,

031901 (2007).

51Z. Wang, H. Wang, J. Zhu, Z. Zhang, F. Wanget al.,Appl. Phys. Lett.

90, 081910 (2007).

52Z. Wang, H. Wang, J. Zhu, Z. Zhang, Y. Xu, S. Zhanget al.,Appl. Phys.

Lett.89(24), 241120 (2006).

53G. Sansone, E. Benedetti, F. Calegari, C. Vozzi, L. Avaldiet al.,Science

314(5798), 443 (2006).

54J. A. Wheeler, A. Borot, S. Monchoce, H. Vincenti, A. Ricci et al.,Nat.

Photonics6, 829 (2012).

55

A. Aquila, Ph.D. thesis, University of California Berkeley, 2004.

56M. Hofstetter, PhD dissertation, Fakult€at f€ur Physik, LMU M€unchen,

2011.

57A. Wonisch, U. Neuh€ausler, N. M. Kabachnik, T. Uphues, M. Uiberacker

et al.,Appl. Opt.45(17), 4147 (2006).

58

M. Hofstetter, M. Schultze, M. Fieß, B. Dennhardt, A. Guggenmoset al.,

Opt. Express19(3), 1767 (2011).

59

A. S. Morlens, P. Balcou, P. Zeitoun, C. Valentinet al.,Opt. Lett.30(12),

1554 (2005).

60

M. Chini, K. Zhao, and Z. Chang,Nat. Photonics8, 178 (2014).

61

M. Schultze, E. Goulielmakis, M. Uiberacker, M. Hofstetter, J. Kim et al.,New J. Phys.9, 243 (2007).

62

M. Suman, G. Monaco, M. G. Pelizzo, D. L. Windt, and P. Nicolosi,Opt. Express17(10), 7922 (2009).

63

M. Hofstetter, A. Aquila, M. Schultze, A. Guggenmos, S. Yanget al.,

New J. Phys.13, 063038 (2011).

64

C. Bourassin-Bouchet, S. de Rossi, J. Wang, E. Meltchakov, A. Giglia et al.,New J. Phys.14, 023040 (2012).

65

S. de Rossi, C. Bourassin-Bouchet, E. Meltchakov, A. Giglia, S. Nannaroneet al.,Opt. Lett.40, 4412 (2015).

66

K. T. Kim, C. M. Kim, M. G. Baik, G. Umesh, and C. H. Nam,Phys. Rev. A69(5), 051805 (2004).

67

A. Rack, H. Riesemeierc, P. Vagovicˇ, T. Weitkamp, F. Siewertet al.,AIP Conf. Proc.1234, 740 (2010).

68

A. Kazimirov, D. M. Smilgies, Q. Shen, X. Xiao, Q. Hao et al.,

J. Synchrotron Radiat.13, 204 (2006).

69

Y. Platonov, V. Martynov, A. Kazimirov, and B. Lai,Proc. SPIE5537,

161 (2004).

70

C. Morawe, J. C. Peffen, E. Ziegler, and A. K. Freund,Proc. SPIE4145,

61 (2001).

71

Seewww.axo-dresden.defor Applied X-ray Optics (AXO).

72

S. Yulin, T. Feigl, N. Benoit, and N. Kaiser, Proc. SPIE 5645, 289

(2005).

73

Y. C. Lim, T. Westerwalbesloh, A. Aschentrup, O. Wehmeyer, G. Haindl et al.,Appl. Phys. A72(1), 121 (2001).

74

B. R. Sandel, A. L. Broadfoot, C. C. Curtis, R. A. King, T. C. Stoneet al.,

Space Sci. Rev.91(1), 197 (2000).

75

R. Soufli, E. Spiller, D. L. Windt, J. C. Robinson, E. M. Gulliksonet al.,

Proc. SPIE8443, 84433C (2012).

76M. Vidal-Dasilva, M. Fernandez-Perea, J. A. Mendez, J. A. Aznarez, and

J. I. Larruquert,Opt. Express17(25), 22773 (2009).

77

Th. Westerwalbesloh, U. Kleineberg, Y. C. Lim, P. Siffalovic, M. Drescheret al.,Ultrafast Optics IV95, 229 (2004).

(13)

78Q. Zhang, K. Zhao, J. Li, M. Chini, Y. Chenget al.,Opt. Lett.39(12),

3670 (2014).

79V. Y. Banine, K. N. Koshelev, and G. H. P. M. Swinkels,J. Phys. D:

Appl. Phys.44, 253001 (2011).

80T. A. Johnson, R. Soufli, E. M. Gullikson, and M. Clift,Proc. SPIE5538,

119 (2004).

81B. M. Lairson, D. Grove, R. Smith, H. Lopez, T. Ayers, B. L. Gantner,

and M. N. Beasley,Proc. SPIE7732, 77322G (2010).

82N. I. Chkhalo, N. Drozdov Mikhail, E. B. Kluenkov, A. Ya Lopatin, V. I.

Luchinet al.,J. Micro/Nanolith. MEMS MOEMS11(2), 021115 (2012).

83S. A. Gusev, M. N. Drozdov, E. B. Kluenkov, A. Ya Lopatin, V. I.

Luchinet al.,J. Surf. Invest.: X-Ray6(3), 482 (2012).

84C. J. Stolz,Proc. SPIE7842, 784206 (2010).

85Y. Kanamori, M. Sasaki, and K. Hane,Opt. Lett.24(20), 1422 (1999). 86A. Bahrami, S. Mohammadnejad, N. J. Abkenar, and S.

Soleimaninezhad, Int. J. Renewable Energy Res.3(1), 79 (2013).

87S. Chattopadhyay, Y. F. Huang, Y. J. Jen, A. Ganguly, K. H. Chenet al.,

Mater. Sci. Eng. R69(1–3), 1 (2010).

88M. M. J. W. Herpen, R. W. E. Kruijs, D. J. W. Klunder, E. Louis, and A.

Yakshin,Opt. Lett.33(6), 560 (2008).

89S. P. Huber, R. W. E. van de Kruijs, A. E. Yakshin, E. Zoethout, K. J.

Bolleret al.,Opt. Express22(1), 490 (2014).

90R. Moors, V. Banine, G. Swinkels, and F. Wortel,J. Micro/Nanolithogr.

MEMS MOEMS11(2), 021102 (2012).

91W. A. Soer, M. J. J. Jak, A. M. Yakunin, M. M. J. W. Herpen, and V. Y.

Banine,Proc. SPIE7271, 72712Y (2009).

92C. Mbanaso, G. Denbeaux, F. Goodwin, A. Hershcovitch, and A. Antohe,

SPIE Newsroom(2011).

93V. V. Medvedev, A. J. R. van den Boogaard, R. van der Meer, A. E.

Yakshin, E. Louiset al.,Opt. Express21(14), 16964 (2013).

94M. Trost, S. Schr€oder, A. Duparre, S. Risse, T. Feigl et al.,Opt. Express

21(23), 27852 (2013).

95M. Kriese, Y. Platonov, B. Ehlers, L. Jiang, J. Rodriguezet al., Proc.

SPIE9048, 90483C (2014).

96

W. A. Soer, P. Gawlitza, M. M. J. W. van Herpen, M. J. J. Jak, S. Braun et al.,Opt. Lett.34(23), 3680 (2009).

97

V. V. Medvedev, A. E. Yakshin, R. W. E. van de Kruijs, V. M. Krivtsun, A. M. Yakuninet al.,Opt. Lett.36(17), 3344 (2011).

98

V. V. Medvedev, A. E. Yakshin, R. W. E. van de Kruijs, V. M. Krivtsun, A. M. Yakuninet al.,Opt. Lett.37(7), 1169 (2012).

99

V. V. Medvedev, R. W. E. van de Kruijs, A. E. Yakshin, N. N. Novikova, V. M. Krivtsunet al.,Appl. Phys. Lett.103, 221114 (2013).

100

R. A. M. Keski-Kuha,Appl. Opt.23(20), 3534 (1984).

101

I. McNulty, Y. P. Feng, S. P. Frigo, and T. M. Mooney,Proc. SPIE3150,

195 (1997).

102

J. C. Rife, T. W. Barbee, W. R. Hunter, and R. G. Cruddace,Phys. Scr.

41, 418 (1990).

103

J. F. Seely, M. P. Kowalski, R. G. Cruddace, K. F. Heidemann, U. Heinzmannet al.,Appl. Opt.36(31), 8206 (1997).

104

B. Lagarde, F. Choueikani, B. Capitanio, P. Ohresser, E. Meltchakov et al., J. Phys.: Conf. Ser.425, 152012 (2013).

105

F. Choueikani, B. Lagarde, F. Delmotte, M. Krumrey, F. Bridouet al.,

Opt. Lett.39(7), 2141 (2014).

106

M. Ishino, P. A. Heimann, H. Sasai, M. Hatayama, H. Takenakaet al.,

Appl. Opt.45(26), 6741 (2006).

107

M. Koike, M. Ishino, T. Imazono, K. Sano, H. Sasaiet al.,Spectrochim. Acta, Part B64(8), 756 (2009).

108

M. P. Kowalski, R. G. Cruddace, K. F. Heidemann, R. Lenke, H. Kierey et al.,Opt. Lett.29(24), 2914 (2004).

109

M. Neviere,J. Opt. Soc. Am. A8(9), 1468 (1991).

110

M. P. Kowalski, F. B. Berendse, T. W. Barbee. Jr, W. R. Hunter, K. F. Heidemannet al.,Proc. SPIE6266, 62660W (2006).

111

M. P. Kowalski, K. S. Wood, M. A. Barstow, and R. G. Cruddace,Proc. SPIE7732, 77322E (2010).

112

M. P. Kowalski, J. F. Seely, W. R. Hunter, J. C. Rife, T. W. Barbeeet al.,

Appl. Opt.32(13), 2422 (1993).

113

A. E. Franke, M. L. Schattenburg, E. M. Gullikson, J. Cottam, S. M. Kahnet al.,J. Vac. Sci. Technol., B15(6), 2940 (1997).

114

J. H. Underwood, C. Khan Malek, E. M. Gullikson, and M. Krumrey,

Rev. Sci. Instrum.66(2), 2147 (1995).

115

D. L. Voronov, M. Ahn, E. H. Anderson, R. Cambie, C. H. Changet al.,

Proc. SPIE7802, 780207 (2010).

116

L. J. P. Ament, M. Veenendaal, T. P. Devereaux, J. P. Hill, and J. Brink,

Rev. Mod. Phys.83, 705 (2011).

117D. Mills, H. Padmoreet al., Seehttp://science.energy.gov/~/media/bes/pdf/

reports/files/X-ray_Optics_for_BES_Light_Source_Facilities_rpt.pdffor Report of the Basic Energy Sciences Workshop on X-ray Optics for BES Light Source Facilities, U.S. Department of Energy, Potomac, USA (2013).

118See

http://www.phys.lsu.edu/plummer/downloads/ewp_workshop_X-Ray_Report.pdf for Workshop on “Soft X-Ray Science in the Next Millennium: The Future of Photon-In/Photon-Out Experiments,” Tennessee, USA (2000).

119

D. L. Voronov, E. H. Anderson, E. M. Gullikson, F. Salmassi, T. Warwicket al.,Opt. Lett.37(10), 1628 (2012).

120

D. L. Voronov, P. Gawlitza, R. Cambie, S. Dhuey, E. M. Gulliksonet al.,

J. Appl. Phys.111(9), 093521 (2012).

121

D. L. Voronov, E. M. Gullikson, F. Salmassi, T. Warwick, and H. A. Padmore,Opt. Lett.39(11), 3157 (2014).

122

D. L. Voronov, E. H. Anderson, R. Cambie, S. Cabrini, S. D. Dhuey et al.,Opt. Express19(7), 6320 (2011).

123

D. L. Voronov, L. I. Goray, T. Warwick, V. V. Yashchuk, and H. A. Padmore,Opt. Express23(4), 4771 (2015).

124

D. G. Stearns,Appl. Phys. Lett.62(15), 1745 (1993).

125

D. L. Voronov, E. H. Anderson, E. M. Gullikson, F. Salmassi, T. Warwicket al.,Appl. Surf. Sci.284, 575 (2013).

126A. J. R. van den Boogaard, E. Louis, E. Zoethout, S. M€ullender, and F.

Bijkerk,J. Vac. Sci. Technol., A28(4), 552 (2010).

127

X. Yang, I. V. Kozhevnikov, Q. Huang, and Z. Wang,J. Opt. Soc. Am. B

32(4), 506 (2015).

128

X. Yang, I. V. Kozhevnikov, Q. Huang, and H. Wang, Opt. Express

24(13), 15079 (2016).

129

A. V. Vinogradov,Proc. SPIE2515, 22 (1995).

130

S. Bajt, H. N. Chapman, A. Aquila, and E. Gullikson,J. Opt. Soc. Am. A

29(3), 216 (2012).

131

R. M. Fechtchenkoa, A. V. Vinogradova, and D. L. Voronov, Opt. Commun.210, 179 (2002).

132

D. Hambach, G. Schneider, and E. M. Gullikson,Opt. Lett.26(15), 1200

(2001).

133

E. A. Bugaev, R. M. Feshchenko, A. V. Vinogradov, D. L. Voronov, V. A. Tokarevet al.,Proc. SPIE5918, 591817 (2005).

134

V. L. Kantsyrev, A. S. Safronova, K. M. Williamson, P. Wilcox, N. D. Ouartet al.,Rev. Sci. Instrum.79(10), 10F542 (2008).

135

H. C. Kang, G. B. Stephenson, C. Liu, R. Conley, A. T. Macranderet al.,

Appl. Phys. Lett.86(15), 151109 (2005).

136

Q. Huang, H. Li, J. Zhu, X. Wang, Z. Wanget al.,Chin. Opt. Lett.10(9),

090501 (2012).

137

H. Wen, D. E. Wolfe, A. A. Gomella, H. Miao, X. Xiaoet al.,Rev. Sci. Instrum.84(1), 013706 (2013).

138

D. L. Voronov, R. Cambie, E. M. Gullikson, V. V. Yashchuk, H. A. Padmoreet al.,Proc. SPIE7077, 707708 (2008).

139

M. Prasciolu, A. Haase, F. Scholze, H. N. Chapman, and S. Bajt,Opt. Express23(12), 15195 (2015).

140A. Sammar, M. Ouahabi, R. Barchewitz, J. M. Andre, R. Rivoira et al.,

J. Opt.24(1), 37 (1993).

141

V. V. Martynov and Y. Platonov, Rev. Sci. Instrum. 73(3), 1551

(2002).

142

V. V. Martynov, H. A. Padmore, A. Yakshin, and Y. A. Agafonov,Proc. SPIE3150, 2 (1997).

143J. M. Andre, R. Benbalagh, R. Barchewitz, M. F. Ravet, A. Raynal et al.,

Appl. Opt.41(1), 239 (2002).

144R. Benbalagh, J. M. Andre, R. Barchewitz, P. Jonnard, and G. Julie,

Nucl. Instrum. Methods Phys. Res. A541(3), 590 (2005).

145P. Jonnard, K. Le Guen, J. M. Andre, J. R. Coudevylleb, and N. Isac,

X-Ray Spectrom.41(5), 308 (2012).

146

I. V. Kozhevnikov, R. van der Meer, H. M. J. Bastiaens, K. J. Boller, and F. Bijkerk,Opt. Express18(15), 16234 (2010).

147

I. V. Kozhevnikov, R. van der Meer, H. M. J. Bastiaens, K. J. Boller, and F. Bijkerk,Opt. Express19(10), 9172 (2011).

148

R. Van der Meer, B. Krishnan, I. V. Kozhevnikov, M. J. De Boer, and B. Vratzov,Proc. SPIE8139, 81390Q (2011).

149R. Van der Meer, I. Kozhevnikov, B. Krishnan, J. Huskens, P. Hegeman

et al.,AIP Adv.3, 012103 (2013).

150R. Van der Meer, Ph.D. thesis, University of Twente, 2013. 151

R. Van der Meer, I. V. Kozhevnikov, H. M. J. Bastiaens, K. J. Boller, and F. Bijkerk,Opt. Express21(11), 13105 (2013).

152

P. P. Naulleau, J. A. Liddle, E. H. Anderson, E. M. Gullikson, P. Mirkarimiet al.,Opt. Commun.229, 109 (2004).

Referenties

GERELATEERDE DOCUMENTEN

De militaire interventie werd deels gerechtvaardigd door de opvatting dat Afghanistan onder het bewind van de Taliban voldeed aan de definitie van een 'failed state' en dat

Bakkleur ↓  Aantal  Percentage  Do/Do/Do  130  37,6  Li/Li/Li  44  12,7  Li/Do/Li  52  15  Li/Do/Do  71  20,5  Li/Li/Do  1  0,3  Onbepaald  48  13,9 

Based on the conclusions of our study (Chapter 3), we speculate that changes in the physiological adaptability of the tongue microbiome in response to unknown environmental

In klassieke stellaire populatie synthese modellen wordt a priori voor een groot aantal verschillende parameters (zoals SSP leeftijd, chemische samenstelling en IMF) een

Thank you for all the interesting conversations that we shared, for sharing your experiences about life in Italy, for always being very friendly to me and showing your interest in

To achieve this aim, the following objectives were set: to analyse literature concerning human resource management with the main focus falling on training,

As a final explorative but important finding, this study suggests how gaze behavior may regulate the relationships between a priori attitudes toward resocialization programs

The secondary objectives of the study were to: examine the organisational processes used by the organisation during the implementation of the strategic plans; evaluate