• No results found

Metallic micro-droplet propulsion as a sensitive probe of plasma pressure

N/A
N/A
Protected

Academic year: 2021

Share "Metallic micro-droplet propulsion as a sensitive probe of plasma pressure"

Copied!
27
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

Metallic micro-droplet propulsion

as a sensitive probe of plasma

pressure

Bachelor thesis in physics

Universiteit van Amsterdam (FNWI)

Author:

Marc Noordam

10565221 (UvA)

Supervisor:

dr. Oscar Versolato, ARCNL

First evaluator:

prof. dr. Wim Ubachs, ARCNL/VU

Second evaluator:

prof. dr. Paul Planken, ARCNL/UVA

(2)

Abstract

We studied the propulsion velocity of a micrometer-sized tin droplet after exposure to a 355 nm wavelength laser with a pulse length of 3 ns and a 1064 nm wavelength laser with a pulse length of 10 ns. The propulsion velocity is measured as a function of the laser pulse energy on the droplet (range 0.1-10 mJ). The observed velocities are compared to two approaches described in literature. The approach made by Mora [Phys. Fluid 24, 1051 (1982)] is not in agreement with the experimental data obtained in this thesis. A more recent approach made by Basko et al. [Phys. Plasmas 22, 053111 (2015)] is in good agreement with the measurements, highlighting the need for a realistic treatment of the charge state distribution. We present a novel method in which the measurement of the propulsion velocity acts as a sensitive probe of plasma pressure and the underlying physics.

(3)

Nederlandse samenvatting

Een belangrijk onderdeel van een lithografiemachine, die gebruikt wordt bij het maken van computerchips, is de lichtbron. Deze lichtbron produceert het licht waarmee structuren op de computerchip aangegeven worden. Om een computerchip steeds kleiner te maken moeten de structuren op een computerchip ook steeds kleiner worden. Een manier om deze structuurbreedte kleiner te maken is de golflengte van het licht dat de lichtbron uitzendt te verlagen. Een huidige lichtbron zendt deep ultraviolet (DUV) licht uit met een golflengte van 193 nm. Onlangs is een nieuwe lichtbron ontwikkeld die extreme ultraviolet (EUV) licht uitzend met een golflengte van 13.5 nm. Deze lichtbron is een stuk gecompliceerder dan zijn neefje de gloeilamp. Hij werkt als volgt. In de lichtbron worden de tin druppeltjes beschoten met een laserpuls. Hierdoor veranderen de druppels van een vloeibare fase naar een plasma. Dit tin plasma zendt vervolgens EUV licht uit met een golflengte van 13.5 nm. Dit proces kan op allerlei manieren geoptimaliseerd worden. Een optie is om iedere tin druppel met twee lasers te beschieten. De eerste laser vervormt de druppel naar een pannenkoekvorm en de tweede laser kan dan de volledige tin pannenkoek raken waardoor er meer EUV licht per tindruppel geproduceerd wordt. Wij hebben onderzocht welke effecten de eerste, vervormings, laser heeft op de snelheid tot welke het druppeltje wordt versneld. Dit is gedaan door met twee verschillende lasers, met een verschillende tijdsduur van de laserpuls en een verschillende golflengte, op een tin druppeltje te schieten. Door zogenaamde shadowgraphs te maken van de druppel op verschillende tijdstippen na raken van de laser, kan de snelheid van de tin druppel bepaald worden (zie Figuur 1). In dit onderzoek zijn wij tot de conclusie gekomen dat de druppel, bij een andere golflengte en pulslengte van de laser, zich anders vervormt. De gemeten snelheden van de druppels kunnen worden voorspelt met recente theoretische moddelen uit de literatuur.

Figuur 1: Drie shadowgraphs van de voortbewegende tin druppels. Gemaakt op verschillende tijden nadat ze door de laser geraakt zijn. De laser komt vanaf de rechterkant en de tin druppel beweegt naar links.

(4)

Contents

1 Introduction 4 2 Theory 6 3 Experimental set-up 11 4 Data analysis 14 4.1 Qualitative analysis . . . 14 4.2 Quantitative analysis . . . 16 5 Outlook 21

(5)

1

Introduction

Obeying Moore’s law [1], the printed size of computer chips has become contin-uously smaller in the last few decades [2]. The line width that can be imaged on a chip is limited by the radius of a light beam in focus ω0. From Rayleigh’s

resolving criterion it follows that,

2ω0=

4λF

πD, (1)

where λ is the wavelength of the light, F is the focus distance and D is the diameter of the beam. To further decrease the line width that can be imaged the semiconductor industry is shifting from a 193 nm deep ultraviolet (DUV) light source to a 13.5 nm extreme ultraviolet (EUV) light source [2]. This source uses tin droplets with a diameter of several 10 µm that are targeted by a focused nanosecond laser pulse, see Fig. (1). The droplet will then turn into a plasma and emits a wide light spectrum with a substantial fraction at a wavelength around 13.5 nm.

Figure 1: Schematic picture of the EUV source. An incoming laser hits the tin droplet. The light emitted is focused in an intermediate focus by a multilayer Bragg reflector-based mirror. Figure from [2].

It can be useful to apply a pre-pulse to the tin droplet prior to the main pulse to shape the droplet. When the pre-pulse hits the tin droplet, some of its mass ablates in the direction opposite of that of the laser light propagation direction. Because of momentum conservation the droplet will be accelerated in the same direction as the laser, see Fig. (2). The propulsion of the droplet triggers the droplet to deformation into a pancake like sheet.

(6)

Figure 2: When the incoming laser pulse hits the droplet it first creates a plasma and some of the mass will be ablated from droplet. This happens on a nanosecond time-scale. The droplet achieves a propulsion speed U and will deform in a pancake like sheet. This happens at longer, µs time-scale. Figure made by D. Kurilovich.

The propulsion velocity U of the droplet is measured by shadowgraphy and is in correlation with the plasma pressure, caused by the mass ablation. The measurement of the propulsion velocity is a sensitive method to investigate the plasma pressure and the underlying physics.

The goal of this study is to investigative the influence of the wavelength of the incoming pre-pulse laser on plasma pressure of the tin droplet. The propulsion velocity will be experimentally measured for different wavelengths over a wide range of laser intensities. Two different approaches in literature by Mora [3] and Basko et al. [4] describing the plasma pressure are worked out. These approaches will be compared with the experimental data.

(7)

2

Theory

In this chapter the propulsion of the tin droplet will be discussed using two different models from literature.

When the tin droplet is hit by the laser beam it will absorb part of the laser energy. The droplet will then heat up and the surface layer of the droplet will evaporate [2]. If the laser intensity is higher then approximately 109 Wcm−2 optical breakdown occurs in the evaporated layer. In this experiment, laser intensities ranging from 108Wcm−2to 1011Wcm−2will be used. After the op-tical breakdown the electron density increases which leads to more absorption of the laser energy by means of inverse bremsstrahlung. The laser will ablate some of the mass from one side of the tin droplet in opposite direction of the laser pulse. This leads to an acceleration of the tin droplet in the same direction as the laser pulse, see Fig. (2).

The accelerated droplet conforms to the law of momentum conservation,

M U = mv, (2)

where M is the mass of the propagating tin droplet with a propagating speed U , m is the ablated mass, v is the speed of the ablated mass.

The initial mass, M0, can be calculated by multiplying the volume of the droplet

by the volumetric mass density of tin. With an experimentally measured droplet radius of 20.9 µm and a volumetric mass density of 7300 kg m−3 the total mass

will be 2.79 × 10−10 kg. Burdt et al. [5] gives a overview of approximation for

the mass ablation rate. They show that to good approximation,

˙

m ≈ C × I5/9λ−4/3, (3) where ˙m is the mass ablation rate in [g/cm2/s], I is the intensity of the laser in

[1011 W/cm2], λ is the wavelength of the laser in [µm], C is a constant which

is experimentally determined to be 2.8 × 103[s2/m] [5]. This constant depends

on some variables (laser pulse duration, laser focus size) that are not the same in this experiment but at least Eq. (3) should give a order of magnitude esti-mation of the ablated mass. The mass of the propelled droplet M is given by the total mass of the droplet M0 minus the ablated mass m. For the highest

used average intensity in this measurement I = 2.3 × 1011 Wcm−2 the mass ablation rate is 1.8 × 104 g/cm2/s. Over a pulse duration of 3.0(1) ns and a droplet cross-sectional surface of 1.37 × 10−5 cm2this gives an ablated mass, m

of 1.6 × 10−12 kg, this is ∼ 0.5 percent of the total mass. The ablated mass is

thus negligible in this estimate.

The laser pulse will cause the droplet to accelerate to a speed of ∼ 0.5 − 350 m/s in the same the direction as the laser pulse [6], see Fig. (2). In literature two different approaches have been described to model the propulsion of the droplet dependent on wavelength and pulse length of the laser. There is an early 1980’s paper of Mora [3] and only recently there is a new approach worked out by Basko et al. [4]. Mora described the absorption of the laser light taking inverse

(8)

bremsstrahlung as the main absorption mechanism. He makes a difference be-tween planar expansion and spherical expansion of the plasma. The expression for plasma pressure pa for both cases is,

pa = 1.3Ip(t)αmλβmµγm( ¯ZΛτ )δm, (4)

where Ip(t) stands for the time-dependent intensity of the laser pulse, λ for the

wavelength in nm, τ for the pulse length in ns; µ, ¯Z and Λ are, respectively, the proton/nucleon ratio, the ion charge, and the Coulomb logarithm. The Coulomb logarithm is the logarithm of the ratio of upper and lower cut-offs of the impact parameter. These cut-offs avoid the divergencies inherent to the description of Coulomb-collision cross-sections. These three will be assumed to be constant in our experiment. The powers in Eq. (4) are given in Table 1.

αm βm γm δm

Planar 0.75 -0.25 -0.27 -0.13 Spherical 0.78 -0.22 -0.39 -0.11

Table 1: The power scales for Eq. (4)

Basko’s model [4] is based on Mora’s model of planar expansion but uses three assumptions that are different from Mora. The first assumption is that pulse length of the incoming laser is long enough to enter the steady-state regime. The minimum pulse length for steady-state regime is estimated at ∼1 ns [4] . The second assumption is that for atoms with a high number of protons the mean ionization degree depends on temperature. For tin a power scaling is used,

zi= 22.5 T0.6, (5)

where zi is the mean ionization degree, and T is the temperature. Because

the temperature depends on the laser intensity, zi is also dependent on the

laser intensity. The third assumption is that some of the laser energy will be converted to light emitted by the plasma. This assumption results in

Ip= IL(1 − φr), (6)

where IL is the intensity of the laser pulse, and φr is the fraction of the laser

intensity used for emitting light.

Basko describes two models. In model(I) Basko uses that the laser light will fully be absorbed at the critical density, nc, given by

nc =

meωL20

4πe2 , (7)

where ωLis the laser frequency, 0 is the permittivity of the vacuum, meand e

are the the electron mass and electron charge. In the model (II) Basko assumes that the laser light will mostly be absorbed in the lower density region below the critical density. With these different assumptions Basko’s model predicts a power law that hold for both model (I) and (II),

(9)

where R is the effective radius which can be assumed to be the radius of the droplet. The power scales of Eq. (8) are given in Table 2.

αb βb

model (I) 0.56 -0.84 model (II) 0.64 -0.36

Table 2: The power scales for Eq. (8)

So for planar expansion, Mora (See Eq. (4) and Table 1) gives higher intensity power scaling of 0.75 against 0.56-0.64 (Table 2)

To get the droplet propulsion velocity from the plasma pressure, the force onto the droplet, the plasma pressure times the cross-sectional surface of the droplet A, is used so that

U M = A Z ∞

−∞

pa(t)dt. (9)

Because the temporal pulse shape intensity is assumed to be Gaussian and is the only time-dependent factor in the plasma pressure, substituting Eq. (8) into Eq. (9) gives for all scaling factors α,

U M ∝ A Z ∞ −∞ (Ip,0e− t2 τ 2)αdt (10) U M ∝ Ar π ατ I α p,0, (11)

using that the initial horizontal speed of the droplet is zero. Eq. (11) finally results in:

U M ∝ pa,0τ A, (12)

where pa,0 is the time independent plasma pressure. The droplets momentum,

P = M U , scales thus with the time-independent pressure, the pulse length, and the surface of the droplet. By measuring U the theoretical models can be validated.

Experimental implementation

In the experiments performed as part of this thesis a power law scaling of the propulsion velocity U is introduced:

U = B × (EOD− EOD,0)α, (13)

with the condition: EOD< EOD,0⇒ U = 0, as introduced in [6].

U is the velocity in the horizontal direction and EOD is the energy on droplet.

B, EOD,0, and α are free parameters where B is the amplitude, α is the power

scale and EOD,0is the threshold of propulsion. Because EOD,0 lies outside the

(10)

propulsion threshold. The EOD is equal to Ip times the cross-sectional surface

of the droplet A times the pulse length,

EOD= τ IpA. (14)

Dividing the propulsion velocity U using the 1064 nm laser with the U using the 355 nm laser and assuming EOD,0=0 gives

U1064 U355 = B1064 B355   EOD,1064 EOD,355 α . (15) Implementing Basko

Substituting Eq. (8) in Eq. (12) and using that M = M0− ˙mτ gives,

U = (IL(1 − φr))

αbλβbR−0.5βbτ A

M0− ˙mτ

. (16)

The estimate of Eq. (3) shows that ˙mτ is negligible with the laser intensities used in this experiment. The propulsion velocity using the 1064 nm wavelength laser is divided by the propulsion velocity using the 355 nm wavelength laser. The parameters (φr,R,A,M0) can assumed to be constant during the experiment

and are divided out. This results in: U1064 U355 = IL,1064 IL,355 αb 1064 355 βb τ1064 τ355 , (17) U1064 U355 = IL,1064Aτ1064 IL,355Aτ355 αb 1064 355 βb τ 1064 τ355 1−αb . (18)

Filling Eq. (14) into Eq. (18) gives,

U1064 U355 = EOD,1064 EOD,355 αb 1064 355 βb τ 1064 τ355 1−αb . (19)

Comparing Eq. (15) with Eq. (19) gives two conditions:

αb= α, (20) and  1064 355 βb τ 1064 τ355 1−αb =B1064 B355 . (21) Implementing Mora

In the same way as for Basko, two scaling parameter conditions can be derived. Substituting Eq. (4) in Eq. (12) and using that M = M0− ˙mτ gives,

U =1.3Ip(t)

αmλβmµγm( ¯ZΛτ )δmτ A

M0− ˙mτ

. (22)

Again dividing the propulsion velocity using the 1064 nm wavelength laser by the propulsion velocity using the 355 nm wavelength laser assuming that µ, ¯Z,Λ,A, and M0are constant gives,

U1064 U355 = Ip,1064 Ip,355 αm 1064 355 βm τ 1064 τ355 1+δm , (23)

(11)

U1064 U355 = Ip,1064Aτ1064 Ip,355Aτ355 αm 1064 355 βm τ 1064 τ355 1+δm−αm . (24)

Filling Eq. (14) into Eq. (24) gives,

U1064 U355 = EOD,1064 EOD,355 αm 1064 355 βm τ 1064 τ355 1+δm−αm . (25)

Comparing Eq. (25) with Eq. (19) gives two conditions:

αm= α, (26) and  1064 355 βm τ 1064 τ355 1+δm−αm =B1064 B355 . (27)

(12)

3

Experimental set-up

To create the tin plasma and measure the droplet propulsion the set-up described by Kurilovich et al. [6] is used. Two lasers are used: a seeded Nd:YAG laser with a fundamental wavelength of 1064 nm (the same as in the set-up of Kurilovich) and a Nd:YAG laser operating at its third harmonic, at a wavelength of 355 nm. In the first part of this section the droplet generator and the beam path of the 1064 nm laser will be briefly discussed. The second part of this section will discuss the beam path of the 355 nm laser. Finally the characteristics of both lasers will be compared.

Droplet generator and beam path

Figure 3: A sketch of the experimental set-up in top view with the beampath of the 1064 nm laser. Figure from [6].

Tin droplets, with a measured radius of 20.9 µm, are dispensed from a nozzle with a repetition rate of ∼10 kHz at a temperature of 250 ◦C into a vacuum vessel typically operated at 10−7 mbar.

To time the laser pulse to hit the droplet a delay generator is used. The droplets fall through a horizontal light sheet produced by a helium-neon laser (HeNe). When the photomultiplier tube (PMT) detects the light scattered by droplet it sends a signal to the delay generator. The delay generator triggers the Nd:YAG laser.

To produce shadowgraphs, see Fig. (3), the delay generator also triggers two pulsed laser diodes (PLD), with a wavelength of 850 nm and a pulse length of 15 ns (FWHM). One PLD is aligned orthogonally to the direction of the laser pulse. The other PLD is aligned 30 degrees to it. In both cases the light will fall onto a CCD chip after it passes a band pass filter through a long-distance microscope. To see the position and shape of the droplets as a function of time,

(13)

the delay generator sets an adjustable triggering for the PLDs.

The beam path of the 1064 nm wavelength laser is sketched in Fig. (3). The laser pulse passes two mirrors to align the laser pulse and optical elements to adjust the energy. Afterwards the beam passes a quarter-wave plate (λ/4) that changes the linear polarization into a circular polarization. Finally, the beam is focused onto the droplet using a lens.

Fig. (4) shows the beam path of the 355 nm laser. A tube was placed be-tween the two tables for user safety reasons and to prevent cables falling in to the beam. The first periscope (PS) changes the height so that the beam can pass through the tube. The second periscope was used to return the height of the beam to the height of the window of the vacuum chamber. Finally the beam will focus through a 1000 mm lens.

Figure 4: A sketch of the beam path of the 355 nm laser

Energy regulation

To adjust the energy of the laser light a half-wave plate (λ/2) and a polarizing element are used. The polarizing element is for the 1064 nm wavelength laser a thin film polariser (TFP) and for the 355 nm wavelength laser an α-BBO polarizer crystal, see Fig. (3,4). The half-wave plate rotates the polarization of the light. The polarizer transmits one polarization axis and thus enables the adjustment of the energy of the laser pulse.

Laser features

The first laser (Quantum-Ray) is also used in the setup of Kurilovich [6] and emits a laser pulse at 10 Hz repetition rate with a fundamental wavelength of 1064 nm. The second laser (Continuum Surelite-I) laser emits a pulse at 10 Hz repetition rate with a fundamental wavelength of 1064 nm. Immediately after the cavity the beam passes a doubling crystal to 532 nm and a mixing crystal to create the third harmonic. After the crystals the beam passes a separator, which includes two dichroics that reflect the 355 nm wavelength and transmits the 532 nm and 1064 nm wavelength. In this way the fundamental (1064 nm) and the second harmonic generation (532 nm) will be filtered out of the beam path.

(14)

laser is unseeded. For both lasers the temporal beam profile is assumed to be a Gaussian function, but because of the seeding this assumption holds better for the 1064 nm wavelength laser then for the 355 nm wavelength laser. The assumption is also used in Eq. (10). The lasers have a measured pulse length of 10.0(2) ns (FWHM) for the 1064 nm laser and a pulse duration of 3.0(1) ns (FWHM) for the 355 nm laser.

The spatial focus profile is also be assumed Gaussian for both lasers. The 1064 nm laser has a measured beam-spot size of 112(2) µm (FWHM) and the 355 nm laser has a measured beam-spot size of 64(2) µm. A second focus size condition was created by setting up a telescope just behind the second periscope. The telescope reduced the beam width and therefore enlarged the focus size. The beam-spot size of the laser pulse with telescope was measured to be 89(5) µm (FWHM). The three laser conditions are summarized in Table 3.

Wavelength (nm) beam-spot size (FWHM) (µm) pulse length (FWHM) (ns)

1064 112(2) 10.0(3)

355 64(2) 3.0(1)

355 89(5) 3.0(1)

Table 3: The three laser pulse length and spot-size as used this experiment. A Nd:YAG laser creates a fundamental 1064 nm wavelength and an other Nd:YAG laser creates a third harmonic at 355 nm wavelength.

(15)

4

Data analysis

The data analysis procedure is performed on data gathered from the shadowg-raphy system as described in Chapter 3. First the deformation, triggered by the propulsion, of the droplets is qualitatively analysed by inspection of the shadowgraphs. Secondly the shadowgraphs are quantitatively analysed using an imaging program. This results in the propulsion velocity U dependence on the energy on droplet EOD for the different laser conditions (see Table 3).

4.1

Qualitative analysis

The propulsion of the droplets causes deformation of the droplet in a pancake-like form, see Fig. (2). The differences of the deformation between the different wavelengths of the incoming pre-pulse laser will be studied in this section. To compare the deformation of the droplets, the Weber number W is used [6]. The Weber number is defined as

W =DρU

2

σ , (28)

where D stands for the diameter of the droplet, ρ for the mass density, and σ for the surface tension. D, ρ, and σ can be assumed to be constant between the laser conditions. So to make an equal comparison between wavelengths of the incoming laser, shadowgraphs of droplets with the same propulsion velocity U have to be compared.

At low incoming laser intensities, there are no differences in deformation between different laser wavelengths. At high laser intensities, however, there are two difference in deformation found by comparing the shadowgraphs at the same delay time. The first one occurs at early delay times (around ∼500 ns). Using the 355 nm laser, the center of the pancake explodes and moves faster than the edge of the pancake, see Fig. (5a). The second difference occurs around a delay time of ∼1000 ns when the 355 nm wavelength droplets already start to open at the center while this occurs at much later delay time for the 1064 nm wavelength droplets. Using the 355 nm wavelength laser the droplets also tend to expand more stably than when using the 1064 nm wavelength laser, see Figs. (5b,5c).

(16)

(a) 90 degree PLD. Upper: 355 nm U =141(14)ms−1. Lower: 1064 nm, U =154(15)ms−1

(b) 30 degree PLD. Upper: 355 nm U =136(14)ms−1. Lower: 1064 nm, U =154(15)ms−1

(c) 90 degree PLD. Upper: 355 nm U =136(14)ms−1. Lower: 1064 nm, U =154(15)ms−1

Figure 5: Comparing droplet expansion and deformation between different wave-length of the incoming laser. The laser is incoming from the right of the picture. The shadow graphs are taken with PLDs at 30 and 90 degree and are compared around the same velocities of ∼ 140 ms15−1. The beam-spot size of the 1064 nm

(17)

4.2

Quantitative analysis

Imaging process

To analyse the shadowgraphs more quantitatively the shadowgraphs are cessed by an imaging program written in MATLAB by F. Torretti. The pro-gram first divides the shadowgraph by an image of the background. Afterwards it will apply per pixel a threshold of half of the maximum intensity. Pixels below that threshold become white and pixels above the threshold become black. The program determines the center of mass of all the black pixels, see Fig. (6). If the laser hits the droplet in the center, the pancake sheet is perfectly straight. But, if the laser hits the droplet slightly out of the center the pancake sheet will tilt by an angle θ of his vertical position. The program also determines this angle.

Figure 6: Example of the imaging process. The right picture is the shadowgraph before the imaging process, the left picture is the same shadowgraph after the imaging process. The center of mass of the droplet is marked with a green cross.

The horizontal coordinate of the center of mass given by the MATLAB pro-gram will be multiplied by 1.86 µm pixel−1. This is the measured resolution of the shadowgraphy system.

Propulsion measurement

In Fig. (7b) the horizontal coordinate of the center of mass is plotted against the delay time where the angle θ is indicated by color. In Fig. (7b) is shown that the droplets, at the same delay time, have a different horizontal distance. This is due to the energy fluctuation of the incoming laser and the focus point stability described in appendix A. The laser energy fluctuation was measured at ∼3 % root mean square (rms). With the laser spot-sizes, 64 µm and 89 µm (FWHM), around the size of the droplet diameter 42µm a focus displacement up to 25 µm results in an energy fluctuation that is the dominant error on the propulsion velocity U . The laser energy fluctuation of ∼3 % rms is thus negligible. To get the velocity of only the aligned droplets two linear fits were fitted to different data points. One linear fit is fitted to all the data points with θ < 0.5◦. The second linear fit is fitted to the points who have the most horizontal distance of each delay time. These droplets have traveled the fastest and have therefore the best laser to droplet alignment.

(18)

(a) Some shadowgraph examples of the measurement over different delay times. The upper pictures are taken with the PLD at 90 degrees and the lower pictures are shadowgraphs of the same droplets shot with the PLD at 30 degrees

(b) Center of mass of the horizontal distance against the delay time

Figure 7: An example of the velocity analysis for 355 nm wavelength, 0.44 mJ energy on droplet EOD and a beam-spot size of 64(2) µm (FWHM). 30

horizontal coordinates per time delay are plotted. Two linear fits are plotted over the data points with θ < 0.5◦ and over the fastest data points. Both are fitted in range from 170600 ns to 178900 ns. The fit over the low angle data points (red) has a slope of -30.5 ms−1and the the fit over the fastest data points has a slope of -33.3 ms−1.

The pancake sheets with a smaller angle θ tend to have a higher velocity than the pancake sheets with a larger angle. This is in agreement with the assumption that if the laser does not hit the droplet in the center, the pancake sheet gets tilted from the vertical position and get a lower velocity, because if

(19)

the laser hits the droplet out of the center the energy that hits the droplet is lower.

Sometimes during a measurement there was a droplet thermal drift movement, this resulted in a sudden change in the horizontal distance between measure-ments. This movement appeared in the displacement plots and can be easily identified by optical inspection of the corresponding shadowgraphs. Because of this error a smaller fit range was selected so it will not affect the fit. The propulsion velocity has been taken from the the slope of the fit, see Fig. (7).

Power law scaling

In Fig. (8) the horizontal velocities U where plotted against the energy on droplet EOD for the different laser conditions (Table 3). A power scaling function as

described in Eq. (13) is fitted to the different laser conditions. To exclude the propulsion threshold EOD,0 it is fixed at zero and the fit range is far above the

(20)

Figure 8: The velocities U are plotted against the energy on droplet EOD for

the three laser conditions (Table 3) on a double logarithmic scale. A power law (Eq. (13)) is fitted to the data points separately for each laser condition. For all laser conditions the range of the fit starts at 0.1 mJ. The green line is a fit to the λ = 1064 nm, beam-spot size of 112(2) µm (FWHM) data points. The blue line is a fit to the λ = 355 nm, beam-spot size of 64(2) µm (FWHM) data points. The red line is a fit over the λ = 355 nm, beam-spot size of 89(5) µm (FWHM) data points. The parameters of the fits are listed in Table 4.

Two data points of the 355 nm; FWHM = 64(2) µm laser are below the threshold energy with a measured U = 0 and fall out of the logarithmic scale, they are displayed as blue arrows. These data points were still taken in to account for the fit.

Wavelength (nm) beam-spot size (FWHM)(µm) B α EOD (mJ) (fixed)

1064 112(2) 48(1) 0.59(1) 0

355 64(2) 55(2) 0.63(2) 0

355 89(5) 53(2) 0.63(2) 0

(21)

Data verification

In this section, experimental data will be compared with models for the plasma pressure described in the theory chapter. The parameters obtained from the fits of both the 355 nm wavelength laser conditions are within error bars of each other and can be averaged to, B355=54(2) and α355=0.63(2). Both

ap-proaches from literature ([3, 4]) suggest that the scaling of the intensity and also EOD (see Eq. (20,26)) is wavelength independent. Therefore α355=0.63(2)

and α1064=0.59(1) are averaged to α=0.61(2).

Mora approach

Mora predicts a power law scaling of αm=0.75 for planar expansion and αm

= 0.78 for spherical expansion, see Table 1. Both scaling factors of Mora are substantially higher than the measured averaged value of α=0.61(2).

Basko approach

Basko predicts a power law scaling of αb=0.56 for model (I) and αb=0.64 for

model(II), see Table 2. Both scaling factors are close to the measured value of α=0.61(2). The observed α favors model (II) with ∆α=0.03 against model (I) with a ∆α=0.05. The power scaling of the wavelength can be obtained by using Eq. (21). Using Eq. (21) with αb=0.61(2), B355=54(7), B1064=48(6),

τ355=3.0(1), and τ1064=10.0(2) gives βb=-0.53(17). This experimentally

ob-tained β is closer to model (II) with ∆β=17 than to model (I) with ∆β=31.

In summary, the experimental data indicates that Basko’s approach gives a bet-ter description of the droplet propulsion as a function of laser energy. Within Basko’s approach model (II) is favourable over model (I) as was to be expected because a Nd:YAG laser is best described by model (II). Because the lower wavelength of the Nd:YAG laser in respect to a CO2 laser the critical density

is higher (Eq. (7)). With a Nd:YAG laser, more light will be absorbed at lower density than the critical density.

(22)

5

Outlook

The experiment has shown that while the deformation between different wave-lengths is different, the propulsion of the droplet behaves the same. The ex-periment confirms the predicted power law scaling α of the propulsion to the energy on droplet. It also shows that plasma pressure models are a good basis to predict propulsion velocity. It has been shown that the wavelength dependence β of the plasma pressure is best described by Basko’s model (II) [4]. For further research we have a few recommendations.

Wavelength scaling

Although small, a wavelength dependency of α is observed, a dependency not predicted by Basko: α355= 0.63(2) is somewhat larger than the near infra-red

observation α1064= 0.59(1). Repeating the experiment at wider range of

wave-lengths might give further evidence on a possible wavelength dependence of α or absence thereof. Potential incoming laser wavelengths might be: The Nd:YAG fourth harmonic with a wavelength of 266 nm, Raman shifted Nd:YAG funda-mental with a wavelength of 1.910 µm, and the CO2laser with a wavelength of

10.6 µm.

Pulse length

Baskos assumes a steady-state regime beyond a pulse length of ∼1 ns where the plasma pressure is independent of the pulse length of the incoming laser [4]. The used pulse length of 3.0(1) ns for the 355 nm wavelength laser is near the edge of the steady state regime. A longer pulse length would bring the plasma further in a steady-state regime and is recommended for further research. For a better comparison it is also recommended that both lasers are seeded. Because both lasers will have the same Gaussian temporal profile.

Deformation

While the focus of this thesis was on the propulsion velocity, the deformation of the tin droplet is of particularly interest too. Although the propulsion ve-locity behaves the same between wavelengths, there are some differences in the deformation. For similar settings, the 355 nm and 1064 nm wavelengths deform different, see Fig. (5). This difference in deformation makes the Nd:YAG laser with a 355 nm wavelength an interesting candidate for a future pre-pulse. Be-cause with a 355 nm wavelength laser pulse the droplet seems to deform faster and more stable.

Acknowledgments

For all the support during my bachelor thesis I want to thank the whole EUV plasma dynamics group at ARCNL. Especially I want to thank Oscar Versolato for his sharp-cut explanations and our discussions about the theory. And Joris Scheers for his practical teaching and showing me how to be an experimental physicist.

(23)

Appendix A

A.1

To measure the dependence of the focus stability on distance the set up of Fig. (9) was used. The path length of the light between the laser and the lens was adapted so that there was a set-up with a total path length of 231 cm and a set-up with a total path length of 373 cm.

Figure 9: The set up to measure the stability of the focus of the laser beam with the beam profiler on the same table as the laser.

The beam profiler measures the photon intensity on a 2D surface. Origin was used to perform the analysis. The image was displayed as a contour plot and because the contour plot was not perfectly Gaussian a horizontal and a vertical axis where place by hand. A Gaussian function then was fitted to the data points along the horizontal and vertical axis, see Fig. (10).

(24)

(a) A typical contour plot of the beam-spot in focus of the 355 nm laser. Cross-hairs are drawn to the requested cross-sections.

(b) The normalize intensity along the horizon-tal axis and a Gaussian fit with a center of 343.2 µm.

(c) The normalize intensity along the vertical axis and a Gaussian fit with a center of 480.2 µm.

Figure 10: A contour plot of a beam pulse with a horizontal axis and a vertical axis drawn by hand through the center of the beam. The intensity along these axis is plotted in 10b and 10c.

The center coordinates of the beam spots where normalized so that the average center of all beam spots is zero. The normalized coordinates of the beam spots are drawn in Fig. (11).

(25)

Figure 11: The displacement of the center of the beam spots in horizontal and vertical direction. 29 beam images where measured for a total path length of 231 cm and a total path length of 373 cm.

A.2

For focus point stability measurement of the different laser conditions the set-up described in Fig. (4) is used. Before the droplet chamber there is a mirror placed on a magnetic mount. This mirror directs the light to a beam profiler. For each laser condition 18 beam images where taken. The results are analysed in the same way as the distance depended measurement and shown in Fig. (12).

(26)

Figure 12: 18 measurements of each laser condition are plotted. The focus point in x and y direction was measured and each condition was rescaled to have a total sum of zero in the x and y direction

From Fig. (11) can be concluded that the focus stability does not depend on the distance. However the focus stability of the 355 nm laser is larger than the focus stability for the 1064 nm laser. The larger focus point displacement of the 355 nm laser will result in fewer data points with a good laser to droplet alignment therefore the shots per time delay was increased (30 shots for the 355 nm laser against 10 shots for the 1064 nm laser).

(27)

References

[1] G. E. Moore. ”Cramming more components onto integrated circuits, Reprinted from Electronics, volume 38, number 8, April 19, 1965, pp. 114 ff.”. IEEE Solid-State Circuits Newsletter, 3:2033, (2006).

[2] V. Y. Banine, K. N. Koshelev, and G. H. P. M. Swinkels. ”Physical processes in EUV sources for microlithography”. J. Phys. D: Appl. Phys., 44:253001, (2011).

[3] P. Mora. ”Theoretical model of absorption of laser light by a plasma”. Phys. Fluids, 25(6):1051, (1982).

[4] M. M. Basko, V. G. Novikov, and A. S. Grushin. ”On the structure of quasi-stationary laser ablation fronts in strongly radiating plasmas”. Phys. Plasmas, 22:053111, (2015).

[5] R. A. Burdt, S. Yuspeh, K. L. Sequoia, Y. Tao, M. S. Tillack, and F. Na-jmabadi. ”Experimental scaling law for mass ablation rate from a Sn plasma generated by a 1064 nm laser”. J. Appl. Phys., 106:033310, (2009).

[6] D. Kurilovich, A. L. Klein, F. Toretti, A. Lassise, R. Hoekstra, W. Ubachs, H. Gelderblom, and O.O. Versolato. ”Plasma Propulsion of a Metallic Micro-droplet and its Deformation upon Laser Impact”. Accepted by: Phys. Rev. A, (2016).

Referenties

GERELATEERDE DOCUMENTEN

共Received 13 September 2007; accepted 23 October 2007; published online 2 January 2008兲 An approach to accessing air holes in a structured optical fiber with a

de mogelijkheden om tot een veilig ontwerp te komen te zeer belemmeren, kan in de inleiding van het audit-rapport worden aangegeven, dat de aanbevelingen zijn gedaan binnen deze

We shall show that arbitrary small sweep-out sets always exist, unless the state space contains an invariant set on which the process behaves pointwise as in

The postoperative analgesic efficacy of buprenor- phine (Temgesic; R &amp; C Pharmaceuticals) 0,004 mg/kg andmorpl1ine 0,15 mg/kg were compared in 60 patients, both agents given

Echter door in deze notitie aan te nemen dat de getalsverhou- dingen uit het rapport-Van Trier voor wat betreft de categorie hoogleraren slechts betrekking

Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of

Producing a classification model by rounding the output of a regression model used the same amount of inputs or more as the benchmark statistical tree regression method..

Mean Annual Temperature oC Heat Units  odays (by month) Average First Date of Heavy Frost  Day of year Average Last Date of Heavy Frost