• No results found

Testing TSV-based three-dimensional stacked ICs

N/A
N/A
Protected

Academic year: 2021

Share "Testing TSV-based three-dimensional stacked ICs"

Copied!
7
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

Testing TSV-based three-dimensional stacked ICs

Citation for published version (APA):

Marinissen, E. J. (2010). Testing TSV-based three-dimensional stacked ICs. In 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010) (pp. 1689-1694). Institute of Electrical and Electronics

Engineers. http://ieeexplore.ieee.org/document/5457087/

Document status and date: Published: 01/03/2010 Document Version:

Accepted manuscript including changes made at the peer-review stage Please check the document version of this publication:

• A submitted manuscript is the version of the article upon submission and before peer-review. There can be important differences between the submitted version and the official published version of record. People interested in the research are advised to contact the author for the final version of the publication, or visit the DOI to the publisher's website.

• The final author version and the galley proof are versions of the publication after peer review.

• The final published version features the final layout of the paper including the volume, issue and page numbers.

Link to publication

General rights

Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. • Users may download and print one copy of any publication from the public portal for the purpose of private study or research. • You may not further distribute the material or use it for any profit-making activity or commercial gain

• You may freely distribute the URL identifying the publication in the public portal.

If the publication is distributed under the terms of Article 25fa of the Dutch Copyright Act, indicated by the “Taverne” license above, please follow below link for the End User Agreement:

www.tue.nl/taverne

Take down policy

If you believe that this document breaches copyright please contact us at:

openaccess@tue.nl

providing details and we will investigate your claim.

(2)

Testing TSV-Based Three-Dimensional Stacked ICs

Erik Jan Marinissen

IMEC vzw Kapeldreef 75 3001 Leuven, Belgium

erik.jan.marinissen@imec.be

Dresden, Germany – March 2010

Abstract

To meet customer’s product-quality expectations, each individual IC needs to be tested for manufacturing defects incurred dur-ing its many high-precision, and hence defect-prone manufacturdur-ing steps; these tests should be both effective and cost-efficient. The semiconductor industry is preparing itself now for three-dimensional stacked ICs (3D-SICs) based on Through-Silicon Vias (TSVs), which, due to their many compelling benefits, are quickly gaining ground. Test solutions need to be ready for this new generation of ‘super chips’. 3D-SICs are chips where all basic, as well as most advanced test technologies come together. In addition, they pose some truly new test challenges with respect to complexity and cost, due to their advanced manufacturing pro-cesses and physical access limitations. This presentation focuses on the available solutions and still open challenges for testing 3D-SICs. It discusses flows for wafer-level and package-level tests, the challenges with respect to test contents and wafer-level probe access, and the on-chip Design-for-Test (DfT) infrastructure required for 3D-SICs.

1

Introduction

Three-dimensional stacked ICs (3D-SICs) offer dense inte-gration of possibly heterogeneous technologies at a small footprint. Interconnection of the various tiers by means of Through-Silicon Vias (TSVs) [1–3] promises to increase the in-terconnect bandwidth and performance while lowering power dissipation and manufacturing cost. TSV-based 3D technolo-gies enable the creation of a new generation of ‘super chips’ by opening up new architectural opportunities [4, 5] and hence might help the semiconductor industry to extend the momen-tum of Moore’s Law into the next decade.

Like all ICs, also these new TSV-based 3D-SICs need to be tested for manufacturing defects, in order to guarantee suf-ficient outgoing product quality to the customer. Whereas 3D-SICs require most of today’s advanced test and DfT ap-proaches, they also have some unique test challenges of their own. New fault models and corresponding tests need to be de-veloped for the new TSV-based interconnects and for new intra-die defects due to the additional 3D processing steps. New test flows, that combine maximum effectiveness and lowest cost, need to be devised. Wafer probing of 3D devices is a major challenge, both for pre-bond dies with their numerous, small, and fragile TSV interconnects, as well as for post-bond stacks with their inherent non-planarity. Also, the design, partition-ing, and optimization of DfT architectures that span across multiple dies is a new major challenge. This paper discusses these challenges and presents solutions in so-far already avail-able.

The remainder of this paper is organized as follows. Section 2

discusses the test contents we need for the TSV-based intercon-nects and for new intra-die defects. Test flows for 3D-SICs are presented in Section 3. Sections 4 and 5 focus on test access, resp. for wafer test and within the chip through an on-chip DfT architecture. Section 6 concludes this paper.

2

3D Test Contents

In a first-order approximation, the test contents of 3D-SICs is not very different from conventional 2D-SOCs. As the major-ity of conventional wafer processing steps remains in tact, the defects, fault models, and corresponding test patterns are the same. New test content is to be expected for (1) the TSV-based interconnects, which form an entirely new structure, and (2) new intra-die defects due to the additional 3D processing steps.

2.1

Test of TSV-Based Interconnects

TSV-related defects (see Figure 1) might occur either in the fabrication of the TSV themselves, in the bonding of the TSVs to the next tier, or during the life time of the 3D stack. Dur-ing the fabrication of TSVs, (micro-)voids, for example due to quasi-conformal plating, might lead to (weak) opens in TSVs. Pinholes in the TSV oxide might lead to shorts between TSV and substrate. Ineffective removal of the seed layer might lead to shorts between TSVs. The bond quality might be negatively impacted by oxidation or contamination of the bond surface, height variation of the TSVs, or particles in between the two dies. Mis-alignment during bonding, in either x, y, or (tilted)

(3)

2 Erik Jan Marinissen

z direction might lead to opens or shorts. In case of Cu-Sn

micro-bumps, the tin might squeeze out due to TSV height variation and cause shorts in between them. During the prod-uct’s life time, the mismatch of Coefficients of Thermal Ex-pansion (CTEs) between different materials might cause the thinned dies to warp, after processing and/or during operation; also, thinned dies might be more susceptible to the effects of mechanical load.

(a) (b)

Figure 1: Examples of interconnect defects: (a) TSVs containing micro-voids along the axis and at the bottom, and (b) misaligned micro-bumps.

Once the interconnects are formed, they actually serve as ‘wires’ between two tiers. From board-level interconnect test-ing, there is a large body of existing work with respect to test pattern generation [6] which we can leverage, such as the Counting Sequence Algorithm [7], the Modified Counting Se-quence Algorithm [8], and the True/Complement Test Algo-rithm [9]. These algoAlgo-rithms detect all (hard) open and shorts through a set of digital test patterns that can be kept small, as it grows only logarithmically with the number of interconnects. The test algorithms rely on the presence of full controllability at all interconnect inputs and full observability at all intercon-nect outputs. This requirement can be fulfilled by DfT (see Section 5, as long as the designers of the various dies allow us to insert that DfT.

Challenges for testing TSV-based interconnects are the follow-ing.

• Should we test the TSVs already before the actual bond

to the next tier is made, and to what extend can this be done?

• How to test the interconnects if the suggested DfT

struc-ture is not (fully) present on both tiers?

• How to test for delay faults at the interconnects between

tiers?

• How to test for defects in the power, ground, and clock

interconnects between tiers?

• To what extend can we implement effective and efficient

redundancy and repair on TSV-based interconnects [10]?

2.2

New Intra-Die Defects

An important question is whether the 3D processing steps in-duce new defects, of which the corresponding fault behavior is not covered by the conventional tests. If that is the case, new fault models and tests will need to be added to the existing test suite.

Wafer thinning is such a 3D processing step that can cause new defects. The TSV processing only allows for limited TSV heights (say, 10 to 100µm) and aspect ratios (say, 10:1 max-imum) [11]. In order to expose the TSV tips at the back-side for bonding to another die, the wafer needs to be thinned down. This is an area for further research; early results indi-cate degradation of some I-V characteristics, shifts in device performances, and limited yield losses due to wafer thinning [12, 13].

Thermal dissipation and thermo-mechanical stress are other causes of concern. Integrated circuits heat up during opera-tion. In densely packed stacks of thinned dies, the heat density might pile up quite high, and has little way of escaping. The heat generated might easily impact the correct operation of the various dies, especially since some dies are more heat-sensitive (e.g., DRAMs) than others. Due to the different CTEs of the various materials in the stack, the stack might also suffer from thermo-mechanical stress, causing further malfunction.

3

3D Test Flows

Conventional single-die chips have two natural test moments: (1) wafer test (also referred to as ‘e-sort’) takes place after wafer fabrication and before assembly and packaging, and (2) packaged test takes place after assembly and packaging. In case of an integrated production flow, the outgoing product quality is guaranteed by the final test, i.e., the packaged test, while the wafer test serves merely as an economical optimiza-tion to prevent unnecessary packaging costs of dies that can be identified as faulty already before packaging. This typically leads to the situation in which the wafer test is a true sub-set of the packaged test, good enough to economically weed out the majority of bad dies. However, that situation is different if bare dies or wafers are delivered as final product from one company to another company. In that case, there are often strict quality requirements between both companies, as the bare die or wafer is considered an end product as well. The delivered dies are termed Known-Good Dies (KGDs) in case they passed a high-quality test that includes at-speed and burn-in testing [14]. 3D-SICs have many more natural test moments. As depicted in Figure 2, we distinguish between (1) pre-bond die tests, (2) post-bond stack tests, and (3) the packaged test. This test flow is such that after every manufacturing operation there is a sub-sequent test executed, based on the idea that it is best to catch

(4)

defects as early as possible, before they lead to further costs downstream. Stack and packaged tests might consist out of one or more sub-tests, for the individual dies in the stack and for each of the interconnect layers.

All these tests, apart from the final packaged test, are wafer tests. We distinguish between pre-bond die tests and post-bond stack tests, are they are distinctly different: in content and pur-pose, but also in test access. For the pre-bond die tests, each die requires its own test access points; this requires careful consid-eration, as discussed in Section 4. In the post-bond stack test, all test data is assumed to be pumped in and out through the bottom die of the stack; this in turn requires a DfT architecture that supports this (see Section 5).

Figure 2: Potential 3D test flow.

If all tests as depicted in Figure 2 indeed are performed, this test flow brings about a tremendous increase in the number of wafer tests; both in the number of tester insertions, as well as in the number of executed sub-tests, especially for a large number of stack tiers n. Executing all tests (including re-tests) leads to

n tester insertions and n sub-tests for pre-bond die testing, and

to n − 1 tester insertions andPni=2(i + (i − 1)) sub-tests for

post-bond stack testing. Including the Package Test, this would lead to 6 tester insertions and 16 sub-tests for n= 3 and to 16

tester insertion and 86 sub-tests for n= 8!

Executing all these tests will certainly lead to a sharp increase in test costs. Whether or not all these tests make indeed tech-nical and economical sense, is governed by the following cost-benefit trade-off:

(1 − y) · d · p > t (3.1) where y is the wafer fabrication yield, d the fraction of faulty products that the test can detect (which is determined by the test quality), p the preventable costs per product, and t the cost of executing a test on a single product.

For the pre-bond die test, the following decisions need to be made.

• Is the yield of the wafer fab high enough and/or the

pre-ventable product cost low enough to be able to skip the pre-bond die test? For large and complex dies in ad-vanced process technology nodes, the yield is typically low enough to require pre-bond die testing. As it only takes one faulty die to render an entire stack faulty, the number of stack tiers is an important parameter in the preventable product cost; the higher the stack, the more value the stack represents, and the more likely it is that pre-bond die testing does pay off.

• In case individual dies are stacked (in Die-to-Wafer

(D2W) or Die-to-Die (D2D) stacking approaches), pre-bond test results can be utilized to avoid stacking good to bad die or vice versa. This is different in a Wafer-to-Wafer (W2W) stacking approach, where one cannot avoid stacking an individual bad die. However, pre-bond die test results can still be exploited to achieved limited stack yield increases by means of wafer-map matching for repositories of pre-tested wafers [15, 16].

• Are we only testing the regular circuitry in the die, or do

we also want to include tests for (1) TSV defects and/or (2) 3D processing steps such as wafer thinning? Test-ing for TSV defects on not-yet-thinned wafers is chal-lenging, as one side of the TSV is still buried in the thick substrate. Nevertheless, limited testing can be per-formed. Tsai et al. [17] proposed DfT to perform a leak-age test for detecting TSV oxide pinholes. Chen et al. [18] proposed DfT to perform a capacitive test for de-tecting broken TSVs. Testing through TSVs and testing for wafer thinning defects as part of the pre-bond die test requires wafer probe access on thinned wafers, which brings about a whole new set of challenges, as outlined in Section 4. The cost/benefit trade-off of performing all these test at this stage has to be balanced against testing for these defects as part of the post-bond stack testing, which is typically easier and more complete, but later in the flow.

• Is the pre-bond die test is true sub-set of the final test,

good enough to weed out the majority of bad die, or do these dies/wafers need to be delivered as KGDs with strict quality guarantees?

For the post-bond stack test, the following decisions need to be made.

• Is the stack yield high enough and/or the preventable

package cost low enough to be able to skip the test?

• Does the stack test consist of only sub-tests for the latest

inter-die connection, or does it also re-execute sub-tests for previously formed and tested dies and interconnects? If pre-bond die tests were performed, there might not be sufficient fall-out to justify re-testing these dies.

(5)

4 Erik Jan Marinissen

• Is the post-bond stack test a true sub-set of the final test,

good enough to weed out the majority of bad stacks, or do these stacks need to be delivered as naked Known-Good Stacks (KGSs) with strict quality guarantees? The cost-benefit trade-off offered by all these wafer test pos-sibilities should by no means be considered static. A typical manufacturing process matures during the life-time of a prod-uct, allowing for cost reduction by omitting certain tests on in-termediate product stages without reducing the overall product quality. Table 1 gives four example test flow scenarios, that al-low to reduce the number of tester insertions and/or sub-tests. A modular test approach, as is commonly applied in 2D-SOC testing [19], is very suitable to flexibly adapt a test flow with exactly that set of sub-tests that is required.

Example Test Flow Scenarios Number of (Insertions, Tests) n = 3 n = 5 n = 8 1. All pre-bond tests, all post-bond tests, all PT tests (6, 16) (10, 38) (16, 86) 2. All ‘first-time’ tests + all PT tests (6, 10) (10, 18) (16, 30) 3. All pre-bond tests, final stack test, all PT tests (5, 13) (7, 23) (10, 38) 4. No wafer tests, only all PT tests (1, 5) (1, 9) (1, 15) Table 1: Various example test flow scenarios and their respective test costs.

4

3D Wafer Test Challenges

As discussed in the previous section, a 3D test flow contains po-tentially many more wafer tests for carrying out pre-bond die tests and post-bond stack tests on intermediate product stages. Pre-bond die testing and post-bond stack testing both have their specific challenges with respect to wafer test access.

4.1

Pre-Bond Die Test Wafer Access

Today’s probe technology, using either cantilever or vertical probes, goes down to a minimum pitch of 35µm [20], has a maximum probe count of several thousands, and makes signif-icant scrub marks in order to achieve a proper electrical con-tact. This is insufficient to probe on TSV tips of 5µm diameter and 10µm pitch (or smaller), which might come in many thou-sands (the 10µm pitch allows TSV densities up to 10k/mm2

), are made of fragile copper, and do not tolerate scrub marks that inhibit downstream Cu-Cu bonding on the same surface. Probing on Cu-Sn micro-bumps is also challenging, but never-theless a bit easier, as the sizes and pitches of the micro-bumps are larger, consequently their numbers smaller, and the con-straints on scrub marks less strict [21].

For pre-bond die test wafer access, we distinguish between the bottom die and the other (non-bottom) dies. The bottom die has wire-bond or flip-chip pads for the connections to the out-side world (‘extra-connect’). These pads provide an interface which is probe-able with today’s probe technology. However,

the situation is different for the other, non-bottom dies. They receive all their functional signals (power, ground, clocks, con-trol, data) exclusively through TSV connections. These TSV tips and/or TSV landing pads are too small, too numerous, and too fragile for today’s probe technology.

If we want to perform pre-bond die tests on the non-bottom dies, new solutions need to be developed. The following solu-tion approaches are being explored.

• Additional probe pads

Providing dedicated additional probe pads (as a form of DfT) at the side to be probed, sized such that to-day’s probe technology can handle them. Obviously, this comes with an area penalty, and hence the number of ex-tra pads should be minimized; on-chip DfT such as Re-duced Pin-Count Testing (RPCT) [22] can help with this.

• Probe technology improvement

Significant improvement of wafer probe technology, scaling down to (in the order of) 25µm pitch for micro-bump probing or down to (in the order of) 10µm pitch for TSV tip and TSV landing pad probing, while at the same time increasing the maximum probe count and reducing the scrub mark damage.

• Contactless wafer probing

Further development of contactless wafer probe technol-ogy, for example based on capacitive [23] or inductive coupling [24, 25]. This technology has the inherent ad-vantage to not cause any scrub marks. However, also this technology needs to scale down in order to probe on micro-bumps and/or TSVs in the sizes and densities they occur. Moreover, the Tx/Rx circuit in the wafer probe card needs to be a mirror image of the DUT and hence might require standardization, while DUT power delivery is not contactless and hence still requires con-ventional contact probes.

While the latter two approaches still require further develop-ment effort, the first approach is feasible today.

As example, let us consider a middle-tier die (i.e., a non-bottom and non-top die) containing TSVs. For wafer probe access, we have two options: probing on the front-side of the non-thinned die, or probing on the back-side of the non-thinned die. In the first case (shown in Figure 3(a)), the front-side has TSV landing pads, which are difficult to be probed; dedicated addi-tional regular-sized probe pads need to be provided. The TSVs are still buried in the thick substrate, and hence can only be accessed from one side, which constraints their test possibili-ties. Thinning defects are not covered in this stage, as the wafer is not thinned yet. In the second case (shown in Figure 3(b)), the thinned wafer is mounted on a temporary carrier wafer for mechanical strength. This implies that the front-side is inac-cessible for probe needles, and probing can only be done at the

(6)

back-side. TSV tips extend out of the back-side, but again are difficult to be probed. Also here, additional probe pads need to be provided.

(a) Front-side on thick wafer (b) Back-side on thinned wafer Figure 3: Wafer probe options for a ‘middle-tier’ die.

4.2

Post-Bond Stack Test Wafer Access

In post-bond testing, the wafer test access is typically through the regular functional pads of the bottom die of the stack. This is largely ‘business-as-usual’ as far as probe technology is con-cerned. The challenges for post-bond stack testing are in the wafer handling within the probe station, especially in the case of D2W stacking. On top of the bottom wafer, stacks con-sisting of one or multiple dies stick out. If that top side is the probe side of the wafer, the stacks might obstruct the con-tact view, making probe needle positioning difficult (see Fig-ure 4(a)). Also, during probe needle movement, we need to make sure that the needles do not collide with the stacks (see Figure 4(b)). On the other side, if we probe on the bottom side of the wafer, the stacks create a very non-planar surface, which is difficult to keep stable on the chuck. It is still a matter of on-going research to resolve these issues.

(a) (b)

Figure 4: Wafer access challenges for post-bond stack test: die stacks (a) obstructing the contact view (here: stack is only one thinned die of 25 µm), and (b) the probe needle movement.

5

3D On-Chip DfT Architecture

The primary role of on-chip Design-for-Testability (DfT) is to provide controllability and observability from the chip I/Os into the heart of the chip design and vice versa. We consider a hi-erarchical 3D-SIC consisting of multiple stacked dies, which each consist of one or multiple embedded cores. DfT require-ments exist at every level of the design hierarchy. Within the embedded cores, we have the usual DfT in the form of

inter-nal scan chains, possibly augmented with Test Data Compres-sion (TDC) and/or Built-In Self-Test (BIST). At the die-level, DfT consists of test wrappers and Test Access Mechanisms (TAMs) [19, 26] that support core-level modular testing. Core test wrappers are standardized by IEEE Std. 1500 [27]; popular TAMs are test bus and TestRail [28]. At the top-level of the de-sign, DfT supports board-level testing through standards IEEE Std. 1149.1/4/6 [29]. Figure 5 shows these conventional DfT components in light-blue.

Figure 5: Conceptual DfT architecture for 3D-SICs; light-blue shows con-ventional DfT, rose the additional new 3D DfT.

In between, a new DfT hierarchy layer is added for TSV-based 3D-SICs. It includes the following.

• A test wrapper at the die boundary, to support modular

testing per die, and allow for both inward-facing testing (InTest, for the dies and cores) and outward-facing test-ing (ExTest, for the TSV-based interconnect in between the dies).

• For pre-bond die tests: dedicated additional probe pads

for the non-bottom dies (see Section 4.1).

• For post-bond stack tests: TestElevators that

transpar-ently pass test stimuli from a lower-level die to a higher-level die and test responses in the opposite direction.

• A switch to connect the die-internal DfT to either the

ad-ditional probe pad access (for pre-bond tests) or TestEl-evator access (for post-bond tests).

Figure 5 shows these new 3D DfT components in rose and red. Another important requirement is that the DfT of a lower-level die should be able to operate independently from the presence (or absence) of the die(s) above it in the stack. This requirement

(7)

6 Erik Jan Marinissen

allows pre-bond tests, as well as post-bond tests on incomplete stacks.

6

Conclusion

3D-SICs are chips where all basic as well as most advanced test technologies come together. In addition, they pose some truly new test challenges. 3D-SICs require new tests for the TSV-based interconnects, while new tests for faults induced by the new 3D processing steps (such as wafer thinning) might also be required. The test flow for 3D-SICs has many more poten-tial wafer tests, both pre-bond and post-bond; on a case-by-case basis it needs to be examined whether all these tests make tech-nical and economical sense. Wafer probe access on TSV tips and landing pads is a difficult challenge. The 3D DfT archi-tecture consists of additional probe pads for pre-bond testing, TestElevators for post-bond testing from the bottom die, and die-level wrappers that support both InTest and ExTest.

Acknowledgments

We thank many colleagues at IMEC for stimulating discus-sions, especially Eric Beyne, Ingrid De Wolf, Luc Dupas, Mario Gonzalez, Anne Jourdain, Paresh Limaye, Pol Marchal, Nikolaos Minas, Dan Perry, Geert Van der Plas, Bart Swin-nen, Kris Vanstreels, and Dimitrios Velenis. Thanks also go to Thomas Th¨arigen, Stojan Kanev, and J¨org Kiesewetter at S¨uss MicroTec for discussions on wafer probing.

References

[1] Robert S. Patti. Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs. Proceedings of the IEEE, 94(6):1214–1224, June 2006. [2] Eric Beyne and Bart Swinnen. 3D System Integration Technologies. In

Proceed-ings of IEEE International Conference on Integrated Circuit Design and Technology (ICICDT), pages 1–3, June 2007.

[3] Philip Garrou, Christopher Bower, and Peter Ramm, editors. Handbook of 3D In-tegration – Technology and Applications of 3D Integrated Circuits. Wiley-VCH, Weinheim, Germany, August 2008.

[4] Gabriel H. Loh, Yuan Xie, and Bryan Black. Processor Design in 3D Die-Stacking Technologies. IEEE Micro, 27(3):31–48, May/June 2007.

[5] Roshan Weerasekera et al. Extending Systems-on-Chip to the Third Dimension: Performance, Cost and Technological Tradeoffs. In Proceedings International Con-ference on Computer-Aided Design (ICCAD), pages 212–219, November 2007. [6] Erik Jan Marinissen et al. Minimizing Pattern Count for Interconnect Test

un-der a Ground Bounce Constraint. IEEE Design & Test of Computers, 20(2):8–18, March/April 2003.

[7] William H. Kautz. Testing of Faults in Wiring Networks. IEEE Transactions on Computers, C-23(4):358–363, April 1974.

[8] P. Goel and M.T. McMahon. Electronic Chip-in-Place Test. In Proceedings IEEE International Test Conference (ITC), pages 83–90, October 1982.

[9] P.T. Wagner. Interconnect Testing with Boundary Scan. In Proceedings IEEE Inter-national Test Conference (ITC), pages 52–57, October 1987.

[10] Uksong Kang et al. 8Gb 3D DDR3 DRAM Using Through-Silicon-Via Technol-ogy. In Proceedings International Solid State Circuits Conference (ISSCC), pages 130–132, February 2009.

[11] Bioh Kim et al. Factors Affecting Copper Filling Process Within High Aspect Ra-tio Deep Vias for 3D Chip Stacking. In Electronic Components and Technology Conference (ECTC), pages 1–6, 2006.

[12] Akihiro Ikeda et al. Design and Measurements of Test Element Group Wafer Thinned to 10µm for 3D System in Package. In Proceedings IEEE International Conference on Microelectronic Test Structures, pages 161–164, March 2004. [13] Dan Perry et al. Impact of Thinning and Packaging on a Deep Sub-Micron CMOS

Product. In Electronic Workshop Digest of DATE 2009 Friday Workshop on 3D Integration, page 282, April 2009. (http://www.date-conference.com/files/file/09-workshops/date09-3dws-digestv2-090504.pdf).

[14] Yervant Zorian, editor. Multi-Chip Module Test Strategies. Kluwer Academic Pub-lishers, 1997.

[15] Greg Smith et al. Yield Considerations in the Choice of 3D Technology. In Inter-national Symposium on Semiconductor Manufacturing (ISSM), pages 1–3, October 2007.

[16] Sherief Reda, Gregory Smith, and Larry Smith. Maximizing the Functional Yield of Wafer-to-Wafer 3-D Integration. IEEE Transactions on VLSI Systems, 17:1357– 1362, September 2009.

[17] Menglin Tsai et al. Through Silicon Via (TSV) Defect/Pinhole Self Test Circuit for 3D-IC. In Proceedings IEEE International Conference on 3D System Integration (3DIC), October 2009.

[18] Po-Yuan Chen, Cheng-Wen Wu, and Ding-Ming Kwai. On-Chip TSV Testing for 3D IC before Bonding Using Sense Amplification. In Proceedings IEEE Asian Test Symposium (ATS), November 2009.

[19] Erik Jan Marinissen and Yervant Zorian. IEEE 1500 Enables Modular SOC Testing. IEEE Design & Test of Computers, 26(1):8–16, January/February 2009. [20] William R. Mann et al. The Leading Edge of Production Wafer Probe Test

Technol-ogy. In Proceedings IEEE International Test Conference (ITC), pages 1168–1195, October 2004.

[21] Eric Beyne et al. Through-Silicon Via and Die Stacking Technologies for Micro Systems Integration. In Proceedings IEEE International Electron Devices Meeting (IEDM), pages 1–4, December 2008.

[22] Harald Vranken et al. Enhanced Reduced Pin-Count Test for Full-Scan Design. In Proceedings IEEE International Test Conference (ITC), pages 738–747, November 2001.

[23] Gil-Su Kim, Makoto Takamiya, and Takayasu Sakurai. A Capacitive Coupling terface with High Sensitivity for Wireless Wafer Testing. In Proceedings IEEE In-ternational Conference on 3D System Integration (3DIC), October 2009. [24] Brian Moore et al. High Throughput Non-Contact SIP Testing. In Proceedings IEEE

International Test Conference (ITC), October 2007. Paper 12.3.

[25] Erik Jan Marinissen et al. Contactless Testing: Possibility or Pipe-Dream? In Pro-ceedings Design, Automation, and Test in Europe (DATE), pages 676–671, April 2009.

[26] Yervant Zorian, Erik Jan Marinissen, and Sujit Dey. Testing Embedded-Core Based System Chips. In Proceedings IEEE International Test Conference (ITC), pages 130–143, October 1998.

[27] Francisco da Silva, Teresa McLaurin, and Tom Waayers. The Core Test Wrapper Handbook: Rationale and Application of IEEE Std. 1500. Springer-Verlag, 2006. [28] Sandeep K. Goel and Erik Jan Marinissen. SOC Test Architecture Design for

Ef-ficient Utilization of Test Bandwidth. ACM Transactions on Design Automation of Electronic Systems, 8(4):399–429, October 2003.

[29] Kenneth Parker. The Boundary-Scan Handbook. Springer-Verlag, third edition, 2003.

Referenties

GERELATEERDE DOCUMENTEN

The main features of the die-level wrapper are the following: (1) a serial interface for wrapper instructions and low- bandwidth test data and a scalable, parallel interface

It adds a die-level wrapper, which is based on IEEE 1500, with the following novel features: (1) dedicated probe pads on the non-bottom dies to facilitate pre-bond die testing,

Testing through TSVs and testing for wafer thinning defects as part of the pre-bond die test requires wafer probe access on thinned wafers, which brings about a whole new set

The chapter also provided answers to the research questions; (1) how did the trend of the informal economy compare to the formal economy in Gauteng between 2001 and 2013, (2)

vertegenwoordiger: de curator of de mentor van de cliënt dan wel, indien de cliënt geen curator of mentor heeft, degene die de cliënt schriftelijk heeft gemachtigd om namens

Wanneer er geen veranderingen op het hartfilmpje te zien zijn, is het niet waarschijnlijk dat u het Brugada syndroom hebt. Tijdens een volgend bezoek aan de cardioloog wordt

† Geef in de ZelfredzaamheidsRadar aan waar mevrouw Dapper zelfredzaam is en waar niet of minder.. Wat kunnen jij en mevrouw Dapper doen om haar zelfredzaamheid

When differential-mode and common-mode channels are used to transmit information, some leakage exists from the common- mode to the differential-mode at the transmitting end