• No results found

Calibration and Debugging of Multi-Step Analog to Digital Converters.

N/A
N/A
Protected

Academic year: 2021

Share "Calibration and Debugging of Multi-Step Analog to Digital Converters."

Copied!
5
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

Calibration and Debugging of Multi-Step Analog to Digital

Converters.

Citation for published version (APA):

Zjajo, A., & Pineda de Gyvez, J. (2008). Calibration and Debugging of Multi-Step Analog to Digital Converters. In

Electronic Design, Test and Applications 2008, DELTA 2008, 4th International Symposium, 23-25-01-2008 (pp.

512-515). Institute of Electrical and Electronics Engineers. https://doi.org/10.1109/DELTA.2008.82

DOI:

10.1109/DELTA.2008.82

Document status and date:

Published: 01/01/2008

Document Version:

Publisher’s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Please check the document version of this publication:

• A submitted manuscript is the version of the article upon submission and before peer-review. There can be

important differences between the submitted version and the official published version of record. People

interested in the research are advised to contact the author for the final version of the publication, or visit the

DOI to the publisher's website.

• The final author version and the galley proof are versions of the publication after peer review.

• The final published version features the final layout of the paper including the volume, issue and page

numbers.

Link to publication

General rights

Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. • Users may download and print one copy of any publication from the public portal for the purpose of private study or research. • You may not further distribute the material or use it for any profit-making activity or commercial gain

• You may freely distribute the URL identifying the publication in the public portal.

If the publication is distributed under the terms of Article 25fa of the Dutch Copyright Act, indicated by the “Taverne” license above, please follow below link for the End User Agreement:

www.tue.nl/taverne Take down policy

If you believe that this document breaches copyright please contact us at: openaccess@tue.nl

providing details and we will investigate your claim.

(2)

Calibration and Debugging of

Multi-Step Analog to Digital Converters

Amir Zjajo1 and Jose Pineda de Gyvez1,2

1NXP Semiconductors Research, HighTech Campus 37, 5656 AE Eindhoven, The Netherlands 2Eindhoven University of Technology, Den Dolech 2, 5612 AZ Eindhoven, The Netherlands

e-mail: amir.zjajo@nxp.com

Abstract

This paper reports a new approach for debugging of the analog to digital converters based on process monitoring and extended design-for-test implementation. The circuit is re-configured in such a way that all sub-blocks are analysed and tested for their full input range allowing full observability and controllability of the analog to digital converter. To set initial data, estimate the parameter update and to guide the test, dedicated monitors have been designed. Additionally, the second presented algorithm allow circuit calibration without explicit need for any dedicated test signal nor requires a part of the conversion time. It works continuously and with every signal applied to the ADC.

Keywords:multi-step ADC, debugging, design-for-test, calibration

1 Introduction

CMOS technologies move steadily toward finer geometries, which provide higher digital capacity, lower dynamic power consumption and smaller area, which results in integration of whole systems, or large parts of systems, on the same chip. With increased system complexity and reduced access to internal nodes, the task of properly testing these devices is becoming a major bottleneck. Additionally, the large number of parameters required to fully specify the performance of mixed-signal circuits and the presence of both analog and digital signals in these circuits make the testing expensive and a time consuming task. Particularly for nanometre CMOS ICs, the large number of metal layers with increasing metal densities, prevents physical probing of the signals for debug purposes. Since parameter variations depend on unforeseen operational conditions, chips may fail despite they pass standard test procedures.

Faults in each one of the components in multi-step ADC affect differently [1] its transfer function. Analyzing and exploring this property form the basis of our approach. The proposed design-for-test (DfT) approach, which is extension on [2], permits circuit re-configuration in such a way that all sub-blocks are tested for their full input range allowing full observability and controllability of the device under test. To estimate performance errors, we employed the steepest-descent method (SDM) algorithm [3], since it requires a small number of operations per iteration and does not require a correlation function calculation nor matrix inversions. Additionally, to enhance observation of important design and technology parameters, such as temperature, threshold voltage, etc., and to update the algorithm parameter estimation, dedicated monitors are embedded within the functional cores [4-5]. To calibrate the accuracy-limiting components, modification on digital offset extraction and analog compensation algorithm [6-8] has been evaluated and implemented.

2 Multi-Step ADC

The input signal is sampled by a three-times interleaved sample-and-hold (S/H), eliminating the need for re-sampling of the signal after each quantization stage. As shown in Figure 1, the S/H splits and buffers the analogue delay line sampled signal that is then fed to three A/D converters (ADCs), namely, the Coarse (4 bits), the Middle (4 bits) and the Fine (6 bits).

switch matrix Mux and S/H chop mid chop fine data4f data4m data4c madc cl k seldig SH con chop docc fine docc mid sel en a tst_set_dac digital test bus

crs wal crs wal crs wal combinator delmd delfn demux TCB crsthermo[16:0] midthermo[16:0] sample_int test_rail_in[13:0] rst ssi,si[12:0] si to logic sso,so[12:0] so from logic test_rail_out[13:0] adcout[11:0] underflow overflow sample cadc fadc chop fi n et h er m o [6 4:0 ] reference ladder switch matrix Vres Vres

Figure 1: Block diagram of the Multi-step ADC and test

control circuitry

The quantization result of the Coarse A/D converter is used to select the references for the mid quantization in the next clock phase. The selected references are combined with the held input signal in two dual-residue amplifiers, which are offset calibrated. The mid A/D converter quantizes the output signals of these mid-residue amplifiers. The outputs from both

4th IEEE International Symposium on Electronic Design, Test & Application

0-7695-3110-5/08 $25.00 © 2008 IEEE DOI 10.1109/DELTA.2008.82

512

4th IEEE International Symposium on Electronic Design, Test & Applications

0-7695-3110-5/08 $25.00 © 2008 IEEE DOI 10.1109/DELTA.2008.82

512

4th IEEE International Symposium on Electronic Design, Test & Applications

0-7695-3110-5/08 $25.00 © 2008 IEEE DOI 10.1109/DELTA.2008.82

512

4th IEEE International Symposium on Electronic Design, Test & Applications

0-7695-3110-5/08 $25.00 © 2008 IEEE DOI 10.1109/DELTA.2008.82

(3)

coarse and mid A/D converters are combined in order to select proper references for the fine quantization. These references are combined with the sampled input signal in two, also offset calibrated, dual-residue amplifiers. The amplified residue signals are applied to a fine A/D converter. The test-shell contains all functional control logic, the digital test-bus, a test control block (TCB) to control testability within the ADC and a CTAG isolation chain for digital IO to and from other IP/cores. Further, logic necessary for creating control signals for the analog circuit parts, and for the scan-chains a bypass mechanism, controlled by the TCB, is available.

3 Estimation Method

Even though extensive research [9-12] has been done to estimate the various errors in different ADC architectures, use of DfT and dedicated monitors for analysis of multi-step ADC to update static parameter estimates have been negligible. The static parameters are determined by the analog errors in various ADC components and therefore, a major challenge in ADC test is to estimate the contribution of those individual errors to the overall ADC linearity parameters. If timing errors are not considered, the primary error sources present in a multi-step ADC are systematic decision stage offset errors (λ), stage gain errors (η), and errors in the internal reference voltages (γ). Each of the three types of errors defined above is the combined result of two physical effects: noise, which includes charge injection noise in analog switches, thermal, shot and flicker noise, and noise coupled from digital circuitry (via crosstalk or substrate), and on-chip process parameter variation, e.g. device mismatch. Systematic decision stage offset (λ) moves the decision levels, while the stage gain error (η) scales the total range of residue signal and causes an error in the analog input to the next stage when applied to any nonzero residue. The residue signal Vres

is incorrect exactly by the amount of the nonlinearity caused by errors in the internal reference voltages γ

offset ref

in

res V s V V

V =η −( −1)γ −λ (1) where s is the observed stage. To obtain a digital

representation of (1) each term is divided with Vref os

in

out D s D

D =η −( −1)γ−λ (2)

where Din=Vin/Vref, Dout=Vres/Vref, and Dos=Voffset/Vref.

By denoting the kth stage input voltage as Din,k=Vin,k/Vref, the kth stage output voltage as

Dout=Vres,k/Vref, and the kth stage decision Dk, a

recursive relationship when (2) is applied to each stage in sequence becomes

[ ]

{

}

3 , 3 3 3 1 , 3 , 3 3 3 3 2 , 2 2 2 2 3 , ) 1 ( ) 1 ( ) 1 ( os N N in os os out out D D D D D D D D D λ − γ − − η η = = λ − γ − − η λ − γ − − η = = K K (3)

Such a model is useful both to economically generate an adaptive filtering algorithm look-up table for error estimation and fault isolation. By forcing the input signals to each stage, we control the input to a filter. The desired output, Dout is collected from the

back-end ADC and subtracted from the corresponding nominal value. This desired response is then supplied to filter for processing. Although in estimation theory several methods are available to estimate the desired response Dout(t), the steepest-descent method (SDM)

algorithm [3] offers the smallest number of operations per iteration and does not require correlation function calculation nor matrix inversions. Essentially, the SDM algorithm involves creation of an estimation error, e, by comparing the estimated output D’out(t) to

a desired response Dout(t) and the automatic

adjustment of the input weights (W’)T=[η’,γ’,λ’] in

accordance with the estimation error e as shown in Algorithm 1. On-chip temperature information [5] and statistical data extracted through the die-level process monitors (DLPM) measurements [6] allows us to characterize current process variability conditions of parameters of interest, and to provide the estimates W’ with an initial value.

Algorithm 1 Initialization

- Initialize the input vector Din(0)

- Force the inputs and collect the desired output Dout(0)

- Measure and set the initial value of the weights W’(0) - Initialize the steepest descent update step µ=1 - Initialize the forgetting factor ζ

Data collection

- Collect N samples from the DLPM and temperature sensors - Collect N samples from the AD converter

Update parameter estimate

1. Update the input vector Din(t+1)

based on current available W(t) 2. Calculate the error estimate W’(t)

3. Generate the output estimate D’out(t)= Din(t)×W’(t)

4. Calculate the estimation error e(t) = D’out(t)-Dout(t)

5. Calculate the error estimate W’(t+1)= W’(t)-µ× Din(t)×e(t)

6. If W’(t+1)> W’(t) decrease step size µ and repeat step 5 7. Increase the iteration index, t and

repeat steps 1-6 for best estimate

8. Denote the final value of W’ by Wl’

9. If temperature changes update W’

with new estimate W’(t+1)=ζ W’(t)+(1-ζ)W0(t+1)

4 Calibration

The dominant error contributing components in the signal path before gain is applied are the S/H, the reference ladder, the switches in the switch unit and the offset on the residue amplifiers. Since sufficient power is spend to meet the noise and linearity requirements of the S/H and matching of the reference resistors is adequate for 12-bit level, the offset on the residue amplifiers is now the only accuracy-limiting component. Therefore, to maintain speed in the residue amplifiers while accomplishing 12-bit linearity requirement, offset calibration has to be applied. As offset is an analogue property, a calibration DAC is used to compensate for the offset

513 513 513 513

(4)

on the residue amplifiers. Figure 2 shows the complete compensation loop. Both residue amplifiers have a chopper at the input. In the digital domain the data is chopped back to retrieve the original input signal and is applied to the decoder to generate the output of the ADC. This output is applied to a common and a differential offset extractor.

sample counter mid[3:0] 0 1 0 therm2bin reference midtherm[15:1] midadc unchop chopmsync 0 reset_integrator/update dacvalue resamp caldac A/ B 1 0 1 0 A/ B(com) (diff) diff diff

Figure 2: Combined common and differential offset

compensation loop – conceptual view

The different effect of the common and the differential offset extractors can be seen as the adders. A change caused by the common offset extractor gives both calibration DAC values a step of the same sign, while a change caused by the differential offset extractor gives a step of opposite sign. These calibration DACs close the compensation loop. Thereby the offset in the residue amplifiers is removed. Since the adjustment of the compensation values is with ± 1 at a time, it can take quite a while before the end value is reached. For instance, taking 256 times 216 samples will take at 80 Ms/s at least 200 ms. To avoid this, a quick calibration algorithm has been build in as shown in Figure 3.

shift 1 0 1 0 0 0 0 0 0 0 1 0 1000000000 calib compout pntr[8:0] ‘0’&pntr[9:1] pntr[9:0] pntr[8:0] stored[8:0] compdac[8:0]

Figure 3: Quick calibration by successive approximation

When this mode is enabled, the inputs of the residual amplifiers will be shorted together. As a result the output will show the offset only, which will be fed to a comparator that compares with the value 0. By setting the bits from MSB to LSB of the compensation DACs and keeping/resetting the values depended of the comparator output, it takes only nine small cycles of eight clocks per DAC to calibrate.The quick calibration results are taken over by the normal (slow) calibration loop, where the convert signal steers the multiplexer that selects between an update from the quick or the normal loop. Note that for the Fine ADC the number of samples used for averaging is increased by a factor of eight.

5 Test Results

A prototype layout of the multi-step ADC is shown in Figure 4. The ADC converter with dedicated sensors is fabricated in standard single poly, five metal 0.09-µm CMOS with the core area of 1.4 mm2. The ADC operates at 1.2 V supply voltage and dissipates 100 mW (without output buffers). The ADC has no missing codes and the differential nonlinearity (DNL) remains within ± 1LSB. One temperature sensor (located at the top right corner) and a total of 125 DLPMs, which are divided into specific groups, have been placed in and around the partitioned multi-step ADC. Each group of sensors target specific error source. To evaluate the two proposed algorithms consider the test results shown in Figures 5-9. λ, η, and γ are generated randomly, so that the relative errors are uniformly distributed in the interval [-0.1,0.1]. At first, update µ was set to 1/4 to speed up the algorithm, and then µ equal to 1/64 after 1000 iteration times to improve the accuracy.

Figure 4: Prototype layout

Although the accuracy increase quite slowly with the amount of data, evaluated ADC, however, use very high sample rates (50 MS/s) so some million samples are collected in less than second.

6 Conclusions

With the use of dedicated monitors, which exploit knowledge of the circuit structure and the specific defect mechanisms, we facilitate the readout of local (within the core) performance parameters as well as the global distribution of these parameters at the cost of at maximum 10% area overhead. The flexibility of the concept allows the system to be easily extended with a variety of other performance monitors. The implemented design-for-test approach permits circuit re-configuration in such a way that all sub-blocks are tested for their full input range. Employed algorithm for diagnostic analysis offers the small number of operations per iteration and does not require correlation function calculation nor matrix inversions. The presented calibration algorithm does not need any dedicated test signal and does not require a part of the conversion time. It works continuously and with every signal applied to the ADC.

514 514 514 514

(5)

7 Acknowledgements

The authors acknowledge the contributions of G. Gronthoud, S. Krishnan, M.J. Barragan Asian, P. Pavithran, and V. Zieren.

8 References

[1] A. Charoenrook, M. Soma, “Fault diagnosis technique for subranging ADCs”, Proceedings of the Asian Test Symposium, pp.367-372, 1994

[2] A. Zjajo, H.J. Bergveld, R. Schuttert, J. Pineda de Gyvez, “Power-Scan Chain: Design for Analog Testability”, Proceedings of International Test Conference, 2005

[3] J.E. Dennis, R.B. Schnabel, „Numerical Methods for Unconstrained Optimization and Nonlinear Equations”, Prentice-Hall, 1983

[4] V. Petrescu, M. Pelgrom, H. Veendrick, P. Pavithran, J. Wieling, “Monitors for a signal integrity measurement system”, Proceedings of European Solid-State Circuit Conference, pp.122 – 125, 2006

[5] A. Zjajo, M.J. Barragan Asian, J. Pineda de Gyvez, “BIST Method for Die-Level Process Parameter Variation Monitoring in Analog/Mixed-Signal Integrated Circuits”, Proceedings of Design, Automation and Test Europe Conference, pp.1301-1306, 2006

[6] H. van der Ploeg, G. Hoogzaad, H. Termeer, M. Vertregt, R. Roovers, “A 2.5-V 12-b 54-Msample/s 0.25-µm CMOS ADC

in 1-mm2 with mixed-signal chopping and calibration”, IEEE

Journal of Solid-State Circuits, vol.36, pp.1859-1867, 2001 [7] A. Zjajo, H. van der Ploeg, M. Vertregt, “A 1.8V 100mW 12

bits 80Msample/s Two-step ADC in 0.18-µm CMOS”, Proceedings of European Solid-State Circuit Conference, pp.241-244, 2003

[8] B. Murmann, B.E. Boser, “A 12 b 75 MS/s pipelined ADC using open-loop residue amplification”, ISSCC Digest of Technical Papers, pp. 328-497, 2003

[9] P. Arpaia, P. Daponte, L. Michaeli, “Influence of the architecture on ADC error modelling”, IEEE Transactions on Instrumentation and Measurement, vol. 48, pp.956-966, 1999 [10] K. Noguchi, T. Hashida, M. Nagata, “On-Chip Analog

Circuit Diagnosis in Systems-on-Chip Integration”, Proceedings of European Solid-State Circuit Conference, pp.118-121, 2006

[11] A. Charoenrook, M. Soma, “A fault diagnosis technique for flash ADC’s”, IEEE Transactions on Circuits and Systems II: Analog and digital Signal Processing, vol.43, pp.445-457, 1996

[12] F.H. Irons, D.M. Hummels, I.N. Papantonopoulos, C.A. Zoldi, “Analog-to-digital converter error diagnosis”, Proceedings of Instrumentation and Measurement Technology Conference, pp.732-737, 1996 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 x 106 10-8 10-7 10-6 10-5 10-4 10-3 10-2 10-1 100 Error curve Samples E rro r v a lu e

Figure 5: Mean-square error for two million samples. The

quality criterion adopted is the mean-squared error criterion, mainly because of the possibilities to assign the weights.

-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1 -1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1 DNL analog input LS B

Figure 6: DNL curve before calibration. Defects result

mainly from errors in fine ADC.

-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 DNL analog input LS B

Figure 7: DNL curve after calibration.

-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1 -5 -4 -3 -2 -1 0 1 2 3 4 5 INL analog input LS B

Figure 8: INL curve before calibration. The INL is mainly

caused by the ladder non-linearity. Errors affecting the reference divider introduce transition position errors since

the transitions do not coincide with the range of the next stage. -1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1 -1.5 -1 -0.5 0 0.5 1 1.5 INL analog input LS B

Figure 9: INL curve after calibration.

515 515 515 515

Referenties

GERELATEERDE DOCUMENTEN

However, Unsworth also stated that his scholarly primitives are “‘self-understood’ functions [that] form the basis for higher- level scholarly projects, arguments,

Participant 2 Traditional product is small compared to developed products Chose to make different products because of small market Developed products most important.. Participant 3

The algorithm to find the optimal correction for a current source is explained using a segmented DAC architecture, of which the Least Significant Bits (LSBs) are implemented

used to load the required test mode data, iii) the clock of the shift- register, iv) the signal, which is connected to the clock ports on the update register and is used to

The proposed equivalent timing error measurement method is based on the measurement of the charge difference between the transition of a reference current cell and the transition of

With the fast advancement of CMOS fabrication technology, more and more signal- processing functions are implemented in the digital domain for a lower cost, lower power

Figure 14.2-1 shows a diagram of the flexible DAC concept. At design level, engineers have the flexibility of a modular design approach. At functional level, customers

Regarding the comparison to other digital calibration techniques: compared to static-mismatch mapping (SMM), DMM can provide performance improvement in the whole Nyquist band