• No results found

Plasma-assisted atomic layer deposition of ultrathin oxide and metal films

N/A
N/A
Protected

Academic year: 2021

Share "Plasma-assisted atomic layer deposition of ultrathin oxide and metal films"

Copied!
2
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

Plasma-assisted atomic layer deposition of ultrathin oxide and

metal films

Citation for published version (APA):

Kessels, W. M. M. (2009). Plasma-assisted atomic layer deposition of ultrathin oxide and metal films. 29th International Conference on Phenomena in Ionized Gases (ICPIG 2009), July 12-17, 2009, Cancún, México, Cancún, Mexico.

Document status and date: Published: 01/01/2009 Document Version:

Publisher’s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please check the document version of this publication:

• A submitted manuscript is the version of the article upon submission and before peer-review. There can be important differences between the submitted version and the official published version of record. People interested in the research are advised to contact the author for the final version of the publication, or visit the DOI to the publisher's website.

• The final author version and the galley proof are versions of the publication after peer review.

• The final published version features the final layout of the paper including the volume, issue and page numbers.

Link to publication

General rights

Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. • Users may download and print one copy of any publication from the public portal for the purpose of private study or research. • You may not further distribute the material or use it for any profit-making activity or commercial gain

• You may freely distribute the URL identifying the publication in the public portal.

If the publication is distributed under the terms of Article 25fa of the Dutch Copyright Act, indicated by the “Taverne” license above, please follow below link for the End User Agreement:

www.tue.nl/taverne

Take down policy

If you believe that this document breaches copyright please contact us at:

openaccess@tue.nl

providing details and we will investigate your claim.

(2)

29th ICPIG, July 12-17, 2009, Cancún, México

Plasma-assisted atomic layer deposition of ultrathin oxide and metal films

U

W.M.M. KesselsUP

P

1

P

Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands

U

w.m.m.kessels@tue.nl

Atomic layer deposition (ALD) is a thin film deposition method based on alternating saturated surface chemical reactions in which the self-limiting growth behavior allows for the deposition of ultrathin films with submonolayer control and with a high conformality on demanding 3D surface topologies. Since recently, the extension of the technique with plasma processes is actively being researched. These so-called plasma-assisted ALD (or plasma-enhanced ALD) processes can provide several potential benefits over thermal ALD such as (i) an enhanced growth rate, (ii) improved material properties, (iii) wider variety of thin film materials and properties, (iv) more process versatility, and (v) lower deposition temperatures (down to room temperature). These benefits make plasma-assisted ALD an attractive method for several applications also outside the traditional field of the semiconductor industry.

In this presentation first different plasma-assisted ALD configurations such as direct plasma, remote plasma, and radical enhanced ALD will described and the merits of the technique will be illustrated on the basis of results obtained for different metal oxide, metal nitride and metal films (Al2O3,

HfO2, TiO2, TiN, TaN, Pt, etc.). The versatility of the plasma-assisted ALD process will be

illustrated by several applications ranging from the semiconductor industry (high-density capacitor stacks), organic electronics (moisture permeation barriers), Li-ion battery technology (current collectors and diffusion barriers), and photovoltaics (surface passivation films). Generic insight into the plasma-assisted ALD surface reactions will be presented as obtained from mechanistic studies carried out by a variety of in situ techniques such as spectroscopic ellipsometry, transmission infrared spectroscopy, mass spectrometry, and optical emission spectroscopy.

0 50 100 150 200 0 100 200 300 0.44 A/cycle 0.79 A/cycle Al2O3 Ta2O5 TiO2 T h ic k n e s s ( A ) Number of cycles 1.17 A/cycle

Plasma-assisted ALD of several oxides from metalorganic precursor gases and O2 plasma: the

thickness can be controlled at the submonolayer-level by selecting the appropriate number of ALD cycles.

TiN film with a thickness of 55 nm deposited by plasma-assisted ALD (TiCl4 and H2-N2

plasma) in a Si trench with an aspect ratio of ~20 demonstrating the high conformality that can be achieved.

Referenties

GERELATEERDE DOCUMENTEN

De raaklijn in A aan deze cirkel snijdt het verlengde van CE in D. vierhoek ADCE is

Bioinformatics, systems biology, chemo-informatics, pharmacogenomics and many more: all of these buzz words try to capture the huge potential for data driven research

A measure of independence based on kernel canonical correlation was introduced in (Bach & Jordan, 2002) with the F-correlation functional as contrast function.. In the

In this paper, we consider real- and/or complex-valued MIBI based on exploiting the Second Order Temporal Structure (SOTS) with arbitrary conjugation pair (see Section III), and

The application of plasma can provide the advantage of acceptable growth rates and improved material properties like high film density as well as low impurity content at

Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma. There can be important differences between the submitted version and

Important film properties of WO 3 including (1) GPC determined by in situ SE, (2) number of deposited W at nm 2 cycle 1 , (3) O/W ratio as well as (4) mass density determined by

The discontinuities at the Si interface 共not all Si atoms are connected via an oxygen atom to aluminum兲 and larger density of unreacted –OH groups within a thermally grown film on