• No results found

Characterization of ion emission of an extreme ultraviolet generating discharge produced Sn plasma

N/A
N/A
Protected

Academic year: 2021

Share "Characterization of ion emission of an extreme ultraviolet generating discharge produced Sn plasma"

Copied!
8
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

Characterization of ion emission of an extreme ultraviolet

generating discharge produced Sn plasma

Citation for published version (APA):

Gielissen, K., Sidelnikov, Y., Glushkov, D., Soer, W. A., Banine, V. Y., & Mullen, van der, J. J. A. M. (2010). Characterization of ion emission of an extreme ultraviolet generating discharge produced Sn plasma. Journal of Applied Physics, 107(1), 013301-1/7. [013301]. https://doi.org/10.1063/1.3268462

DOI:

10.1063/1.3268462 Document status and date: Published: 01/01/2010

Document Version:

Publisher’s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Please check the document version of this publication:

• A submitted manuscript is the version of the article upon submission and before peer-review. There can be important differences between the submitted version and the official published version of record. People interested in the research are advised to contact the author for the final version of the publication, or visit the DOI to the publisher's website.

• The final author version and the galley proof are versions of the publication after peer review.

• The final published version features the final layout of the paper including the volume, issue and page numbers.

Link to publication

General rights

Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. • Users may download and print one copy of any publication from the public portal for the purpose of private study or research. • You may not further distribute the material or use it for any profit-making activity or commercial gain

• You may freely distribute the URL identifying the publication in the public portal.

If the publication is distributed under the terms of Article 25fa of the Dutch Copyright Act, indicated by the “Taverne” license above, please follow below link for the End User Agreement:

www.tue.nl/taverne

Take down policy

If you believe that this document breaches copyright please contact us at:

openaccess@tue.nl

providing details and we will investigate your claim.

(2)

Characterization of ion emission of an extreme ultraviolet generating

discharge produced Sn plasma

K. Gielissen,1,a兲 Y. Sidelnikov,2 D. Glushkov,3 W. A. Soer,4 V. Banine,3 and J. J. A. M. v. d. Mullen1

1

Eindhoven University of Technology, Den Dolech 2, 5600 MB Eindhoven, The Netherlands

2ISAN Institute of Spectroscopy, Fizicheskaya Str. 5, Troitsk, Moscow Region 142190, Russia 3ASML, De Run 6501, 5504 DR Veldhoven, The Netherlands

4Philips Research, High Tech Campus 4, 5656 AE Eindhoven, The Netherlands

共Received 11 June 2009; accepted 3 November 2009; published online 4 January 2010兲

The ion emission of a Sn-based discharge produced extreme ultraviolet producing plasma is characterized with the combined use of different time-of-flight techniques. An electrostatic ion spectrometer is employed to measure the average charge distribution of the emitted Sn ions. A dedicated Faraday cup configuration is used to measure the total ion flux from the source for different discharge energies. High-energy Sn ions emitted by the plasma with energies up to 100 keV have been identified. The number of high-energy ions increases for higher electrical input energy into the plasma while the signal associated with the expanding plasma ions does not show such dependence. The ion energy distribution for a bulk of detected ions is calculated based on the Faraday cup measurements and compared with theoretical plasma expansion dynamics. © 2010

American Institute of Physics.关doi:10.1063/1.3268462兴 I. INTRODUCTION

To reduce feature size in the semiconductor industry, fu-ture lithography tools will have to decrease the imaging wavelength. It is expected that lithography using extreme ultraviolet 共EUV兲 radiation will be introduced to produce features smaller than 32 nm. EUV technology will make use of plasma sources, which produce EUV radiation to project small-scale patterns onto wafers. These sources have to pro-duce sufficient EUV.1 In alpha-level EUV exposure tools, sources based on a discharge produced plasma共DPP兲 of Sn have so far shown the highest EUV power.2–4In addition to the desired EUV radiation, however, these sources produce a significant amount of debris that can damage the collector optic. The lifetime of the collector optic in the source-collector assembly is one of the main challenges for EUV lithography to have high productivity.5 In addition to Sn deposition, a major factor that determines the lifetime is ion sputtering of the material at the collector surface. These ions are produced by the plasma itself and it is important to un-derstand the mechanisms that are responsible for the creation of these ions.

Previously, the ion emission from plasma based EUV sources has been investigated. It was found that DPP sources emit Sn ions with energies up to several tens of keV while for laser produced plasma sources the energy is limited to about 10 keV.6–8

This paper focuses on the characteristics of the ionic debris emitted by a Sn-based DPP source. The experiments are based on time-of-flight 共TOF兲 velocity measurements of the ions performed with two different analysis tools.共1兲 An electrostatic spectrometer, which detects only ions for a cho-sen energy-to-charge ratio, is employed to measure the

ve-locity of the ions. The energy-to-charge ratio in combination with the velocity allows identifying the ion species and the ion charge Z.共2兲 A dedicated Faraday cup 共FC兲 configuration is used to measure a total ion flux as a function of time. Using the mean ion charge Z the total number of ions emitted by the discharge plasma is calculated. Then, the ion energy distribution 共IED兲 emitted by the discharge plasma is deter-mined using the TOF as a measure of the kinetic energy. Finally, a model based on the collisionless expansion of plasma into vacuum is employed to estimate the IED based on the plasma conditions during the pinch phase.

II. EXPERIMENTS A. DPP source

A Sn-based DPP source developed at the Russian Insti-tute of Spectroscopy共ISAN兲 is employed to study the ionic debris emission. The source consists of two closely spaced metal electrodes that rotate through a bath of liquid Sn. This keeps their surface continuously covered with a layer of liq-uid Sn so that electrode erosion is prevented.

Figure 1 shows a schematic of the source. Before the ignition of the discharge, a potential of about 4 kV is applied across the discharge gap共⬃3–4 mm兲 with the use of a ca-pacitor bank. Next, a laser pulse evaporates liquid Sn from the cathode surface and a partly ionized Sn vapor expands to the anode. When the density near the anode is sufficiently high the discharge is initiated. This is typically about 100 ns after the laser pulse. The current through the discharges in-creases rapidly共⬃100 ns兲 and due to the Lorentz forces the plasma is compressed in a radial direction, thus creating a multiply ionized Sn plasma. The EUV radiation is emitted by one or more micropinches that subsequently develop in high

Z plasmas according to the radiative collapse theory.9Finally the micropinch expands into vacuum and decays. The ob-a兲Electronic mail: k.gielissen@tue.nl.

(3)

served lifetime of a single micropinch in DPP sources equals about 10 ns. The typical plasma characteristics during the discharge were intensively studied on a similar source and can be found in Refs.10and11. As the high density plasma is only short lived, the moment the micropinch develops will serve as a zero point on the time scale when performing TOF analysis of the ionic debris. It can be identified because of the high radiation emission or a sudden decrease in the dis-charge current.

The source is operated in a vacuum environment at a repetition frequency of 10 Hz and a discharge energy of Ed = 4 J/pulse. By changing the voltage applied to the capacitor bank Ed can be altered. A Nd:YAG 共yttrium aluminum gar-net兲 laser operating at a wavelength of 1064 nm is used to evaporate the liquid Sn in between the electrodes and thus trigger the discharge. The laser pulse has a time width of about 15 ns and a pulse energy of about 10 mJ. During the experiments presented in this paper, the detectors are posi-tioned perpendicular to the discharge axis.

In Sec. II B an electrostatic spectrometer is described that is utilized to measure the ion charge distribution. Then, a dedicated FC configuration is described and the equation for calculating the IED from the cup signal is derived. With the FC the total ion flux emitted by the DPP source is measured and from this the IED is determined. Finally, a model de-scribing the collisionless expanding plasma dynamics is used to estimate the IED based on the initial plasma properties.

B. Ion charge distribution

The electrostatic cylindrical spectrometer utilized in this experiment was constructed at ISAN,12 and is based on the design of Hughes and Rojansky.13 The parameters for opti-mum performance of this type of spectrometer have been calculated and measured previously.14–17 Figure 2 shows a schematic of the spectrometer. Two cylindrical surfaces hav-ing radii of curvature R1= 2 cm and R2= 3 cm are placed between an entrance and an exit slit of width 0.5 mm and height 10 mm. The cylindrical surfaces are maintained at potentials V1 and V2, thus creating a potential difference of ⌬V=V1− V2 between them. This potential difference pro-duces an electrical field F共r兲 inside the spectrometer. Charged particles entering the spectrometer will travel a

cir-cular path under the influence of the electric field. For each voltage⌬V, only ions with a specific energy-to-charge ratio will arrive at the exit slit and are detected using a multichan-nel plate共MCP兲 detector. The time-resolved detection of the MCP by means of an oscilloscope provides a TOF analysis. An explanation of the working principle follows next, together with the derivation of the equation, which is em-ployed to calculate the energy-to-charge ratio of the ions exiting the spectrometer. The equation of the electric field inside the spectrometer for R1⬍r⬍R2 is as follows:

F共r兲 = ⌬V

r⫻ ln共R2/R1兲. 共1兲

If an ion with mass mi, speed v, and charge q enters the

spectrometer, it will travel a circular trajectory with radius r because of the centripetal force acting on it. The following force equation must hold m⫻v2/r0= q⫻F共r0兲 for ions pass-ing through the exit slit of the electrostatic spectrometer. From Eq. 共1兲 it follows that for these ions the following equation is valid: E/q=⌬V/关2⫻ln共R2/R1兲兴 where E is the kinetic energy. The charge q of the ion can be written as Z ⫻e with Z the charge number and e the elementary charge. Now, for a spectrometer with R1= 20 mm and R2= 30 mm, this can be simplified to

E/Z = 1.23 ⫻ e ⫻ ⌬V 共eV兲. 共2兲

From the TOF analysis the kinetic energy E of a detected ion can be calculated using

Ekin= mi 2 ⫻

D t

2 , 共3兲

where D is the distance from the spectrometer to the plasma and t is the TOF. Thus, by measuring the TOF of the detected ions, the ion species and charge number Z can be determined using Eqs.共2兲and共3兲.

1. Experiment

A picture of a typical oscilloscope measurement of the spectrometer placed at a distance D = 85 cm from the plasma source is shown in Fig. 3. The division on the time scale equals 1 ␮s. Three traces can be seen: trace A represents the laser pulse igniting the discharge and trace B shows the time derivative of the discharge current. The time of the pinch is Laser

pulse Cathode disk

Anode disk

FIG. 1. Schematic top view of the DPP source. Two rotating disk electrodes are covered with a layer of liquid Sn. A laser pulse is used to evaporate the liquid Sn in between the electrodes, initiating the discharge.

R1 R2 Φ V1 V2 MCP r0

FIG. 2. Schematic of the electrostatic spectrometer based on the design of Hughes and Rojansky共Ref. 13兲. Two cylindrical surfaces having radii of curvature R1and R2are maintained on potentials V1and V2. The angle⌽

between the entrance slit and the exit slit equals to 127.3°. The particles that exit the spectrometer are detected using a MCP detector, read out by an oscilloscope.

(4)

indicated by the arrow on the image magnification and is taken as zero on the time scale when performing TOF analy-sis. Trace C gives the spectrometer signal for⌬V=3 kV as a function of time. From Eq.共2兲it follows that only ions with

E/Z=3.7 keV are detected. At the beginning of trace C, a

large noise signal is visible during the time of the pinch followed by some small peaks from light elements. Appar-ently these are contaminants present in the plasma fuel. From TOF analysis and using Eq.共3兲it is concluded that the main peaks observed in trace C are from Sn ions with charges Z = 2 up to Z = 15. The contaminants can be identified as H+, O4+, and O3+.

For a given E/Z value, the detected contaminants have higher velocities than the Sn ions, and because they have a relatively low charge number, the contaminants have a lower kinetic energy than the highly charged Sn ions. As an ex-ample, the O4+ion has a velocity of 4.3⫻105 m/s while its energy equals to 15 keV in comparison with the Sn15+ ion, which has a velocity of 3.0⫻105 m/s and an energy of 57 keV.

From Fig.3 an estimate of the relative amount of con-taminants with respect to the Sn ions can be made. When the ion impact energy is sufficiently high共⬎3 keV兲 heavy ions and low mass ions are detected with equal detection efficiency.18–20 From this it follows that the signal intensity of ions of different species can be compared. However, be-cause the detection efficiency may differ for particles with different kinetic energies, only the signal of ions with equal energy and Ekin⬎3 keV can be analyzed. A comparison from the peak intensity of the oxygen with the Sn ions for equal kinetic energy, e.g., O+4 and Sn+4 with E

kin= 15 keV, shows that Sn is about eight times more abundant than oxy-gen.

We will now mainly concentrate on the Sn ions. In order to measure the average charge number for different energy

ranges of the emitted ionic debris, the spectrometer signal is recorded for various values of ⌬V. The intensity of the Sn ion peaks from the spectrometer measurement are shown versus the charge number Z in Fig. 4 for various values of

E/Z. The increase in signal intensity for higher E/Z values

should not be interpreted as a larger number of ions; as will be shown later the number of high-energy ions is much less than that of the low-energy ions. The number of ions emitted by the source will be measured as a function of time with the FC in Sec. II C. Figure 4 shows that for lower ion energies 共E/Z=0.6 keV兲 the weighted average charge equals to Z = 7 and for higher ion energies 共E/Z=4.9 keV兲 the average charge number equals to Z = 8.

The kinetic energy of the ions can be calculated by mul-tiplying the charge number by the corresponding E/Z value. The energy detected is from the E/Z=4.9 keV series; these ions have a velocity of 3.5⫻105 m/s, which corresponds to an energy of Ekin= 74 keV for the Sn ions with charge num-ber Z = 15. This maximum in the measured energy is because of the voltage limit of the spectrometer. A higher voltage at the deflection plates could damage the interior electric com-ponents. It is expected that Sn ions with higher energies can be found among the debris.

Concluding, these experiments indicate that the high ve-locity ionic debris not only consists of high-energy Sn ions but of high velocity contaminants such as H+, O3+, and O4+ as well. It is shown that the Sn ions can have energies up to 74 keV. The electrostatic spectrometer, however, is unable to detect higher energies due to the apparatus limit. Further-more, no information about the number of ions can be de-duced from these results.

In Sec. II C a dedicated FC detector is employed. This detector not only allows measuring the ion flux as a function of time, but also has no limiting operation voltage for the detection of ions.

C. IED

FC detectors are commonly utilized for the investigation of the ion flux from plasmas.21,22 These detectors are com-mercially available23 but are often homemade as specific conditions demand for a dedicated configuration. The work-ing principle of a FC is as follows: The cup is positioned at

Sn2+ Sn3+ B Sn15+ < - - - > Sn4+ O4+O3+ H+ C A C B H+ Pinch, t = 0 A

FIG. 3. A typical oscilloscope image of the measurement with the spectrom-eter. Trace A represents the laser pulse igniting the discharge, trace B shows the time derivative of the discharge current, and trace C gives the signal of the ion spectrometer for an E/Z value of 3.7 keV. The charges of the Sn ions of Z = 2 up to Z = 15 are visible. At the start of the signal some noise can be seen.

FIG. 4. The intensity of the Sn ion peaks from the spectrometer selection for different E/Z values are shown as a function of the charge number Z. The weighted average charge number for low E/Z values equals Z=7 and for high E/Z equals Z=8.

(5)

a certain distance D from the plasma and the collected charge is measured as a function of time. For a good con-figuration, the charge is a measure for the number of ions that are captured by the cup per unit of time. From the aver-age charge number Zav measured in Sec. II B, the total ion flux can be calculated. With the use of the TOF of the ions, their kinetic energy is determined and the IED is calculated. In order to perform energy analysis of the captured ions or to suppress electrons from escaping the cup, a repelling grid is frequently employed. This grid is placed in front of the cup and may be negatively biased to prevent the escape of secondary electrons out of the cup or positively biased for ion energy analysis. However, the use of a grid as an energy analysis tool can introduce unwanted space charge effects in front of the cup.24,25 Furthermore, when measuring the ion flux of EUV producing plasmas with a FC, one has to be aware of two mechanisms that can disturb the measurement. First, the emitted plasma radiation creates secondary electrons by impact on metal surfaces. These surfaces not only include the vacuum chamber walls but the FC surface as well. In view of the fact that the energy of the detected ions is calculated with the TOF technique, a large signal during the discharge cycle may prohibit the detection of high-energy ions arriving at the cup shortly after the pinch. Such a signal can be produced because of secondary elec-trons from the walls entering the FC and thus creating a negative signal, or from secondary electrons escaping the FC and creating a positive signal.

Second, the impact of high-energy ions on surfaces will also produce secondary electrons. This may lead to a signal increase or signal decrease. If an ion is collected inside the cup and secondary electrons escape, the signal will increase and it will appear as if more ions were detected. The signal will decrease when ions collide with the vacuum chamber walls near the FC and the secondary electrons are collected with the cup.

Concluding, the production of secondary electrons, ei-ther by radiation or by high-energy ions, in or nearby the FC has to be prevented. Thus the presence of a grid in front of the FC is not favorable. In order to obtain a low noise signal and optimal detection efficiency a dedicated FC configura-tion has been developed. Figure5shows the schematic of the FC detector.

The cup is made of a thin copper foil and has a length of 60 mm, a diameter of 18 mm, and is connected to a coaxial BNC connector. An aperture of 12 mm is placed in front of the cup to prevent radiation or ions from reaching the vacuum chamber walls near the cup in the case of a small beam misalignment. In order to repel the secondary electrons from the chamber walls the cup is biased with a voltage of ⫺1.4 V. With the use of permanent magnets placed outside the vacuum, a magnetic field is created at the FC entrance to prevent secondary electrons from escaping the cup. The magnetic field strength at the center of the cup equals 60 mT. The charge collected by the cup is measured as the voltage

V共t兲 across the load resistor R=2.0 k⍀ as a function of time.

When the cup is aligned correctly electrons and photons are of no influence and the collected charge is solely the result of a number of ions nitransmitted through the limiting aperture and captured by the cup. Now a derivation follows to calculate the total ion flux dN/dt and the IED dN/dE using the charge Q共t兲 collected by the FC as a function of time.

From Ohm’s law it follows that for the charge Q共t兲 col-lected by the cup the following equation holds:

dQ dt =

V共t兲

R , 共4兲

where V共t兲 is the measured voltage across the load resistor R at a time t after the pinch. Assuming that the charge Q = ni ⫻e⫻Zavcollected by the cup is solely due to the capturing of niions with average charge number Zavwe can write Eq. 共4兲 as dni dt = V共t兲 e⫻ Zav⫻ R 共5兲 with dni/dt the number of ions collected by the FC per unit of time. Now, if a limiting aperture with diameter d in front of the FC is positioned at a distance L from the plasma then the total ion flux per unit of time dN/dt is equal to

dN dt = V共t兲 e⫻ Zav⫻ R ⫻ 共2 ⫻␲⫻ L2兲 ⫻

⫻ d 2 4

−1 . 共6兲

This can be converted to the IED using dN/dE=dN/dt ⫻dt/dE. Here dt/dE can be replaced with −2⫻E/t since Eq. 共3兲 gives the expression for the kinetic energy E. This leads to the following expression for the IED:

dN dE= −

8⫻ V共t兲 ⫻ L2⫻ t3

e⫻ Zav⫻ R ⫻ mi⫻ D2⫻ d2. 共7兲

By integrating Eq.共7兲for a certain range of energy, one can calculate the number of ions in the interval having these energies and emitted by the source in a solid angle of 2␲. 1. Experiment

For the measurement of the ion flux emitted by the DPP source, the FC is mounted to the source chamber at a tance D = 100 cm from the plasma, perpendicular to the dis-charge axis. An additional aperture with diameter d = 2 mm is placed at a distance L = 18 cm from the plasma in front of the FC. In this way a small misalignment of the FC entrance BNC 10 60 2kΩ B=60mT Z N 1MΩ - 1.4 V 1 nF 12 18

FIG. 5. Schematic of the dedicated FC detector configuration. The cup has a length of 60 mm and a diameter of 18 mm. In order to repel external secondary electrons it is biased with a voltage of⫺1.4 V. A magnetic field, with a field strength of B = 60 mT at the center of the cup, is used to prevent internal secondary electrons from exiting the cup. The current through the load resistor R = 2.0 k⍀ is a measure of the captured ions.

(6)

to the ion beam will not result in an additional production of secondary electrons. It should be noted that the limiting ap-erture locally introduces a space charge that suppresses the number of ions being transmitted by the aperture. Although the aperture partially suppresses the signal, this configuration allows a better analysis of the FC signal. Because of a sub-stantial variation of the pulse-to-pulse FC signal it is chosen to measure the average ion flux over a number of consecu-tive pulses. Figure 6 shows the FC signal as a function of time for Ed= 2 J, Ed= 3 J, and Ed= 4 J.

The negative signal seen at the beginning of the FC trace is the result of the collection of secondary electrons. These are produced in the vicinity of the cup during the time of the pinch. This is taken as zero on the time scale. At a time of 1 – 5 ␮s after the pinch, a beam of ions is measured with the FC. These ions have high velocities and thus are highly en-ergetic. The positive signal at about 8 ␮s is expected to be the result of the collection of normal Maxwellian ions from the expanding Sn plasma. It can be clearly seen that the discharge energy of the plasma has a large influence on the emission of high-energy ions but for t⬎5 ␮s no significant change is observed in the FC signal. The expanding plasma seems to be unaffected by the discharge energy.

TOF analysis shows that the ions from the expanding plasma have velocities up to 1.3⫻105 m/s, which corre-sponds to Ekin= 10 keV for the case of Sn ions. The high-energy ion beam consists of ions with velocities in the range of 1.0⫻106to 2.0⫻105 m/s. In Sec. II B it is shown that in this range of velocities not only high-energy Sn ions but also contaminants are present. Thus the peak signal will be the result of the collection of a combination of different ion spe-cies. It is not possible to identify them solely with the FC data and therefore an estimate of the contribution of the Sn ions to this peak signal will be made using the results from the experiments with the spectrometer.

The maximum measured velocity of Sn ions in Sec. II B is 3.5⫻105 m/s; this equals to E

kin= 74 keV, and this was only limited by the maximum voltage ⌬V of the spectrom-eter. Oxygen ions with velocities up to 4.3⫻105 m/s were also detected as shown in Fig.3. However, a highly charged Sn ion contributes more to the charge Q collected by the FC than a lowly charged contaminant. Moreover, the results of

the spectrometer suggest that Sn ions are much more abun-dant than contaminants as mentioned above. Therefore it is reasonable to suggest that the Sn ions can have velocities up to 4.0⫻105 m/s, which corresponds to E

kin= 100 keV, and that these high-energy Sn ions contribute to the major part of the peak signal from Fig.6. It is not to be excluded that even higher energetic Sn ions are present in the high-energy ion beam. In order to verify this, however, experiments with an electrostatic spectrometer have to be performed where de-flection voltages in the range of tens of kilovolts can be applied.

Now with the use of the average ion charge Zav mea-sured in Sec. II B, the setup parameters, and the FC data from Fig. 6, the IED dN/dE emitted in a solid angle of 2␲ can be calculated using Eq.共7兲. For the calculation it is as-sumed that all ions collected with the cup are Sn ions and that the ion emission is isotropic. Actual ion emission may be anisotropic; thus the calculation presented below may differ several times from actual emitted ion flux in a specific direc-tion. Figure7shows dN/dE as a function of Ekinfor different

Edvalues. The trace can be divided into three different parts. 共1兲 The low-energy part 共Ekin⬍10 keV兲 was found to be similar for the different discharge energies and re-sembles Maxwellian energy distribution, as will be shown later. This part is expected to be the result of the expansion of the plasma into vacuum after the discharge. By integrating this signal it follows that approximately 1014 ions are emitted in 2 by the source with E

kin ⬍10 keV. A typical plasma column11

with radius R0 = 0.5 mm, a height of 3 mm, an average electron density of ne= 1⫻1024 m−3, and considering an average ioniza-tion number of Z = 8 consists of about 3⫻1014ions. This shows that the number of ions emitted by the source is of the same order of magnitude as the total number of ions inside the initial plasma column. The discharge plasma is thus fully ejected into vacuum after the pinch phase.

共2兲 The second part of the IED 共10 keV⬍Ekin⬍20 keV兲 has a different slope and also does not change with Ed. This part is calculated out of the FC signal trace for 5 ␮s⬍t⬍8 ␮s from Fig.6. This part of the FC signal is constant in time but nonzero and therefore contributes

0 2 4 6 8 10 12 14 0 10 20 30 Expanding plasma ions High-energy Sn ions F C si gnal [m V ] t [µs] 4 J 3 J 2 J Pinch t = 0

FIG. 6. 共Color online兲 The averaged FC signal measured as a function of time for Ed= 2 J, Ed= 3 J, and Ed= 4 J. The negative signal right in the

beginning is because of collected electrons at the time of the pinch, and is taken as zero on the time scale.

0 20 40 60 80 100 105 106 107 108 109 1010 1 2 3 High-energy Sn ions dN /dE [ni / eV ] Ekin[keV] 4 J 3 J 2 J Expanding plasma

FIG. 7.共Color online兲 The IED dN/dE calculated from the FC signal using Eq.共7兲shown as a function of Ekin. For the calculation it is assumed that all

detected particles are Sn ions and kinetic energies ⬎100 keV are not shown.

(7)

to the IED. Possibly it is a transition region between the two main signals or it may be the sum of the tails of the two signals that overlap each other.

共3兲 The third part 共20 keV⬍Ekin⬍100 keV兲 represents the high-energy ion beam consisting of Sn ions that are clearly not part of the expanding plasma plume. This part of the signal changes for different discharge ener-gies. The ions most likely result from different mecha-nisms that can produce suprathermal particles.26 These mechanisms may include the formation of anomalous resistivity and high inductive voltages during the current breakup after the pinch. It appears that an increased Ed enhances the formation of high-energy ions.

III. PLASMA EXPANSION INTO VACUUM

In this section, an analytical model is used to calculate the IED of the expanding plasma using parameters from the initial plasma conditions. This model will be compared with the previous measurement for Ed= 4 J. For a collisionless plasma that expands into vacuum, the charge separation ef-fects have been studied intensively.27It is assumed that at t = 0, the plasma occupies the half space x⬍0 and consists of cold ions that are initially at rest and of electrons, with tem-perature Teand number density nethat obey Boltzmann sta-tistics. When the plasma expands, the ion movement is de-scribed with the equations of continuity and motion. A

self-consistent solution can be found if one assumes

quasineutrality in the expanding plasma. This leads to an IED of the following form:27

dN dE= S⫻ ni0⫻ cs⫻ t

2⫻ E ⫻ Zav⫻ Te exp

2⫻ E Zav⫻ Te

, 共8兲

where E is the kinetic energy of the ions, ni0= ne/Zav the initial共planar兲 ion density, and S is the surface with radius R0 of the initial plasma. The ion acoustic velocity csis given by

cs=共Z⫻Te/mi兲1/2.

With the use of Eq. 共8兲 the IED of the expanding Sn plasma is calculated. For this an average ionization number of Zav= 8 is assumed, the electron density of a typical plasma column is used11 ne= 1⫻1024 m−3, and the radius R0 = 100 ␮m is based on the equilibrium radius of the pinch estimated with the radiative collapse theory.28 The plasma temperature Teis used as a fit parameter. Figure8shows the result of the calculation with Eq.共8兲for Te= 20 eV together with the measured IED for Ed= 4 J. This value of Teis ex-perimentally confirmed.11

For Ekin⬍15 keV the model nearly coincides with the measurement. This shows that the electron temperature of

Te= 20 eV closely resembles the temperature of the initial plasma from where the ions originate. For Ekin⬎10 keV, however, the measured IED starts to differ from the model calculations.

First, for 10 keV⬍Ekin⬍20 keV, it appears that the tail of the Maxwell distribution has increased. As discussed in Sec. II, this possibly is a transition regime between the ex-panding plasma and the high-energy ion beam. Then, for

Ekin⬎20 keV, the high-energy ion beam is clearly not part

of the expanding plasma ions as predicted by the model. The high-energy ions are expected to be the result of plasma in-stabilities during the discharge that can produce suprathermal ions.26

The production mechanisms of these high-energy ions are beyond the scope of this paper. It should be noted that these plasma instabilities are typical for pinch plasmas but their formation is not unavoidable. If sufficient understand-ing is gained from the formation of these instabilities, mea-sures can be taken to suppress the production of the high-energy ion beam and thus increase the lifetime of collector optics in DPP EUV sources.

IV. CONCLUSION

An electrostatic ion spectrometer is utilized to measure the charge distribution of the emitted Sn ions. Although the spectrometer is limited to measure ions with a maximum

E/Z value of 4.9 kV, Sn ions with charge Z=15 and kinetic

energy up to 74 keV are identified. The average charge of the Sn ions collected by the detectors is found to equal Zav= 8.

A dedicated FC configuration is employed to measure the ion flux as a function of time for different plasma dis-charge energies. TOF analysis of the FC signal allowed the determination of the IED. This distribution is compared with the result of an analytical model describing the collisionless expansion of a plasma into vacuum. For these calculations isotropic ion emission is assumed. As pointed out above, the actual ion emission may be anisotropic and the presented calculations may differ several times from the actual emitted ion flux in a specific direction.

It is shown that the measured IED consists of two im-portant parts. The low-energy part 共Ekin⬍10 keV兲 has a Maxwellian distribution and is described by the plasma ex-pansion model using an initial electron temperature of Te = 20 eV. The second part for Ekin⬎20 keV consists of su-prathermal Sn ions with energies up to 100 keV. An increase in the plasma discharge energy enhances the high-energy ion emission, while the ions from the expanding plasma are hardly affected.

The mechanisms that can lead to the suprathermal Sn ion production are beyond the scope of this paper. Several mechanisms for high-energy ion production, such as anoma-lous resistivity and high inductive voltages during the current

0 20 40 60 80 100 105 106 107 108 109 1010 dN /dE [ni / eV ] Ekin[keV] Ed= 4 J Te= 20 eV

FIG. 8. The measured IED dN/dE for Ed= 4 J is shown together with the

calculated dN/dE from Eq.共8兲as a function of Ekin. As input parameters

Te= 20 eV, ne= 1⫻1024 m−3, and R0= 100 ␮m.

(8)

breakup after the pinch, are discussed in literature.26 These so-called suprathermal Sn ions are accelerated along the dis-charge axis and may scatter on ions or atoms or on the elec-trode surfaces. In order to validate which of these processes play part in the production of the high-energy ion beam, future experiments are planned.

1V. Banine, O. Frijns, and G. Swinkels, International Extreme Ultraviolet

Lithography共EUVL兲 Symposium, Sapporo, Japan, 28–31 October 2007 共unpublished兲.

2H. Meiling, S. Lok, B. Hultermans, E. van Setten, B. Pierson, K.

Cum-mings, C. Wagner, and N. Harned, International Extreme Ultraviolet Li-thography共EUVL兲 Symposium, Lake Tahoe, CA, 28 September–1 Octo-ber 2008共unpublished兲.

3M. Corthout, M. Yoshioka, et al., International Extreme Ultraviolet

Li-thography共EUVL兲 Symposium, Lake Tahoe, CA, 28 September–1 Octo-ber 2008共unpublished兲.

4E. Wagenaars, A. Mader, K. Bermann, J. Jonkers, and W. Neff,IEEE

Trans. Plasma Sci.36, 1280共2008兲.

5J. Benschop, V. Banine, S. Lok, and E. Loopstra,J. Vac. Sci. Technol. B 26, 2204共2008兲.

6V. Medvedev, R. Gayzoe, V. Krivtsun, V. Ivanov, and K. Koshelev,

Com-parison of Spectra of Accelerated Ions Produced by LPP and DPP, 23rd

Symposium on Plasma Physics and Technology, Prague, Czech Republic, 16–19 June 2008共unpublished兲.

7M. Murakami, Y. G. Kang, K. Nishihara, S. Fujioka, and H. Nishimura,

Phys. Plasmas12, 062706共2005兲.

8G. Zukakishvili, V. Krivtsun, V. Gomozov, V. Ivanov, I. Kharkin, D.

Glushkov, and K. Koshelev, Generation of Fast Ions in Vacuum Sparks, 23rd Symposium on Plasma Physics and Technology, Prague, Czech

Re-public, 16–19 June 2008共unpublished兲.

9K. N. Koshelev and N. R. Pereira,J. Appl. Phys.69, R21共1991兲. 10E. R. Kieft, J. J. A. M. van der Mullen, G. M. W. Kroesen, V. Banine, and

K. N. Koshelev,Phys. Rev. E71, 026409共2005兲.

11E. R. Kieft, J. J. A. M. van der Mullen, and V. Banine,Phys. Rev. E72,

026415共2005兲.

12G. Zukakishvili共private communication兲.

13A. Hughes and V. Rojansky,Phys. Rev.34, 284共1929兲. 14J. E. Monahan,J. Appl. Phys.24, 434共1953兲.

15D. Roy and J. D. Carette,Appl. Phys. Lett.16, 413共1970兲. 16D. Roy and J. D. Carette,Rev. Sci. Instrum.42, 1122共1971兲. 17M. Arnow,J. Phys. E9, 372共1976兲.

18B. Brehm, J. Grosser, T. Ruscheinski, and M. Zimmer,Meas. Sci. Technol. 6, 953共1995兲.

19H. C. Straub, M. A. Mangan, B. G. Lindsay, K. A. Smith, and R. F.

Stebbings,Rev. Sci. Instrum.70, 4238共1999兲.

20M. Krems, J. Zirbel, M. Thomason, and R. D. DuBois,Rev. Sci. Instrum. 76, 093305共2005兲.

21J. S. Pearlman,Rev. Sci. Instrum.48, 1064共1977兲.

22G. Gerdin, W. Stygar, and F. Venneri,J. Appl. Phys.52, 3269共1981兲. 23See, e.g.,http://www.kimballphysics.com/detectors/detect_prod.htm. 24T. S. Green,Plasma Phys.12, 877共1970兲.

25R. Janmohamed, G. Redman, and Y. Y. Tsui,IEEE Trans. Plasma Sci.34,

455共2006兲.

26D. D. Ryutov, M. S. Derzon, and M. K. Matzen,Rev. Mod. Phys.72, 167

共2000兲.

27P. Mora,Phys. Rev. Lett.90, 185002共2003兲.

28K. N. Koshelev, H. J. Kunze, R. Gayazov, V. Gomozov, V. V. Ivanov, V.

G. Koloshnikov, E. D. Korop, V. Krivtsun, Yu. V. Sidelnikov, O. Yaku-shev, and G. G. Zukakishvili, in EUV Sources for Lithography, edited by V. Bakshi共SPIE, Bellingham, WA, 2006兲, Chap. 6.

Referenties

GERELATEERDE DOCUMENTEN

In spite of this, to the best of our knowledge, the global dynamics of an SVEIR epidemic model with continuous age-structure in latency, infection, vaccination and

In deze toekomstverkenning voor de Friese landbouw, in opdracht van de pro- vincie Fryslân, wordt naast inzicht in de huidige kracht van de agrarische sector, vooral een beeld

-waarom niet gewoon de naara Contactblad der WTKG (omdat we het ook niet leuk zouden vinden als alle kranten de naara Krant zouden krijgen en alle mensen dé naam Mens, red.);.

• Covergisting vindt plaats op een akkerbouwbedrijf met bestaande vergistingsinstallatie; • Er zijn twee bouwplannen opgesteld, één voor zandgrond en één voor kleigrond; •

The beam reflection ratio depends on the wave length and the properties of the material. Workpiece removal per second

Ook het onderzoek van Wageningen-UR kwam, net voor de eeuwwisseling, tot de conclusie dat goed omspringen met voeding qua stalemissie tot een resultaat kan leiden dat gelijk aan

For some studies in which the primary research approach has an emphasis on quantitative data, the rationale for a mixed methods approach is based on the need to obtain an alternative

Het grootste deel van deze uitgave wordt in beslag genomen door een vertaling van Leipoldts brieven in modern Afrikaans; ach- terin zijn de oorspronkelijke Nederlandse