• No results found

Simplifying developments for FPGAs

N/A
N/A
Protected

Academic year: 2021

Share "Simplifying developments for FPGAs"

Copied!
130
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

! ! " # $$%&'(%) * + " , ! -* . $/ 0%$/ $!%

(2)
(3)
(4)
(5)

" # $ )7 1 " 8 . 8 ! 6 * * . 1 8 " * 8 *. 8 . ! 9 . : ! # .. 0$ ! 1 1 8 81 * 8 ! *. ; ! * ! 6 . " * * 8 * * " 8 * . ! * 8 * < * = 8 * . * ! 8 * = * * * * ! . 8 < ! 8 * . . " * * 1 8 > .. 8 1 . 8 1 > *8 1 * ! 6 ? @* . 8 * 8 8 1 * ! " A . ! # 1 .. 8 1 * * + " ! 8 * 8 > . *8 B 8 8 * !B * . 8 1 ! " 8 8 * 8 8 8 . " 8 8 * ! 9 * !: * .. " * ! * 0%$'! 8 * 4 56! " 8 " ! C 8 8 * 8 8 * = ! # 8 8 * . . 8 * 8 8 4 56 ! ! * 1 *.. 8 * .. 1 8 * * ! 8 8 * 8 * 8 9 8 : 4 56 * 8 81 8 . " 8 * 1 * " C ! * . 8 8 *.! 8 * 8 8 - " . ! *. " 8 * * " 8 8 8 " . ! 8 * *. " -* . " " 8 * * . " . " " 8 . " * ! * * . .! *1 D . * 8 8 * * * * ! " #

(6)
(7)

" # ' )7 4 56 8 * 8 4 56 @* ! * @* 8 1 8 . . ! 8 . 1 ! 6 * . C 8 *. ! 8 . 8 * 8 ! 6 8 " . 8 8 * " ! * 9 : .* " * * ! 8 . * * ! E ! 8 4 56! " 1 " . ! . " E . 8 @* ! 4 8 . ! * 8 " . ! 8 " " ! 8 = * ! * 8 8 * 4 56! . . ! * 8 . ! . 8 * ! 6 * @* . ! 4 . * .= . . . ! 9 8 . * : ! .= . . 8 ! . * * ! . " * ! * 1 . ! 8 * ! 8 " . C * . " * *.. * * 8 ! 8 " . ! # . 8 * @* * ! 8 * 1 . 1 * . " . ! * * " * * ! 8 . 8 " 8 ! 8 . " 8 8 0%&( 0%&( " 1 8 = . ? !

(8)

" # & )7 ! "#$%& ' $$( %' ) * ' (% + %' , - $ . (%+' ' %(# %' / '!$ 6 + , 6 F ( '!$!$ G,#,6G 5G + # ( '!0 6 + , G >, H '!' 6 + , , # G6 G #F# , H 0 ( ( 1 2+ %' !* 3 (%4 5 +( 1 ( ' (% + %' !-) #+& '. ' !3 )!$ ,E 6 5 , +#, 4 # + - # 5, ,G6 $/ )!0 , #, $/ )!' +# 5 , ,G $) )!& 9 : 6 6 ,# 4 , ,G $) )!/ ,, , - , 5, $( , # ( 6 ' ( %( ' *7 7!$ 6E G,## 0% 7!0 G ,G 6 0% 7!' 6G # 0% 7!& 6I6 6 F 4 0$ 7!/ 6 ,G6 - 0$ / 1 ( 8 ( # ( 6 ' ( ** (!$ I,GI , 4 , G 00 (!0 I,GI , 4 , ,G6G F 4 , 6 ,G6 - ,G46 , 0' (!0!$ ,=# 0/ (!0!0 6 ,G6 -J - 0/ (!0!' 0/ (!0!& ,#,G 6 K,G 0/ (!0!/ G,#, 0) (!' 6 ,G6 - 4 5+G6 0) (!'!$ , 6F# 07 (!& 5, ,G6 K 5 , ,# 5 07 (!&!$ I # 6 6G 07 (!&!0 6 , , 6 4 =# , 4 6G 6G, 0( (!&!' 5, ,G # G 5 6 # 6 # 0H (!&!& = G, G ,## G '% (!/ 6 ,G6 - G6GF '% (!) ,# 5 # G6 # '$ (!7 G ## 5 - 6 # '$ (!7!$ - 6 # +6 '$ (!7!0 6 6 #F G K6 6 ,5 5 '0 (!7!' I,G 5 6 6 ## '' (!( G,#, ') (!H ,# 5 = # + 6 ') (!H!$ # + 6 G # 4 6G, ') (!H!0 # + 6 6G6 , ,G# '7 (!H!' 4 4 #F G K,G 4 G , ,# , '( (!H!& ,# , G ,##,# '( (!H!/ 44,G, ,# , ,, # + 6 6 G,6 F &0 (!H!) # + 6 G6G ,# &0

(9)

" # / )7 (!H!7 +# # G 5 4+ &0 (!$% ,# 5 = G,6 G && (!$%!$ ,# 65, && (!$%!0 G 5 ,L+ , && (!$%!' 65, # G65, 6 + + &) (!$%!& 65, # K, &7 (!$%!/ ,# G,#+ # &( 9 %' &+ %' 3-H!$ 6 # ,G# , G,#,6G L+,# # /' H!0 6 # ,G , 6 L+,# /' H!' G,56G 5 , 6 ,G6 - ,# G /& !7 ( %## ' %' 33 $%!$ G, , 6 # 4 G , // $%!0 G, , 6 # 4 G , + F /) $%!' ,## # ,6G , /7 !! &% (" 3/ !* ( ( ' 39 $0!$ ,E +6 + , # /H $0!0 , # ,# )$ $0!' ,G + , # )/ !- & % +( )) !0 & % % ( # ' )) !3 & % $& ), !) & % %(#+& ), ' : ; : ' ' 1 + % #+& '. ' : ; & ' % : ( ( ' : ; ( %' % 1 # ( & '. (% % %&

' : ; ( %' % 1 1 & # & # ' %' %( # ( & '.

' : ; &% . %# ' ' &% "

' : ; & % 2+ # '

(10)

" # ) )7

!

* + 4 4 4 = =4 = * 4 4 56 MM 4 56 4 5 6 5 + 5 . + 8 . * # #. MM , , * . + =+. I # 8 I # 4 8 4 * . . ,?. = . 8 " #,G ,# #,G M ,# M I I I I # I # I #. *

(11)

" # 7 )7

*

. * * . C . C B G = " . B! . C *. 8 " . 4 56 ! * * . . C ! F * * . C * . C . . '!0! 8 . 9': 8 " * * . C ! @* . *. 9 . &:1 8 * . . C 9 . /:! . * . ) * ( * . @* * ! 6 . H @* 8 8 9 . H: 8 . 8 8 * . C 8 . * 9$%:! 6 * 8 8 ! * 1 1 ! # 8 * . * * * ! * . 8 . ! @* * * . 8 8 8 # . ? ! * * * ! 8 " * , 9 : * 1 8 =01 8 * =$% * N0$O! 8 * * =0 8 # . ? * .* P * ! $%0& $ 9 :! + , * 8 * $ 9- *:! * * 8 * =. ! # * 8 * 8 8 $1%%%!/! * . 8 . * * ! 8 ! . . * 1 8 ! . * * " . * I . " * ! . * * I " * " " 9 * . . : 8 *.. * D . C* ! . * . ! 6 * * . 1 8 . ! # * . * 1 * * . 8 ! " .* * . .* . .* ! ! * * 8 * ! * , * . . ? !

(12)

" # ( )7

-

$

<

. . C 1 * 1 . 8 . C 1 *. " " . C " ! * " * . C ! . C * 8 8 ?. 8 . C 8 * !

-;!

=

9 . = ** 8 .. C M -: * . * $H'0 * " 8 .. " * ! . * '%%% . 8 * 2 * " 1 # Q # * + M , 0( 8 00 ! 6 * . * 1 . * * " 1 . . " 1 . * " N00O! . 8 ?. ! 8 # 1 , 1 # # ! " *. 1 8 8 . ! 4 *. 8 . * $! 8 . C 1 8 . . '!0! !; = '%; 4 *. " " . C 8 ! 1 1 * ! M ! #* . . * * . 1 . . = ' = ! . 8 . 1 1 . @* . ! . 8 . 1 * 8 . * ! - * .. * ! , 1 # # # . . =

(13)

" # H )7

-;*

=

>

6 * @* . ! 5 + 4 56 * 0 " . ! 6 . * @* 8 * 4 56 ! 8 8 . 8 8 " ! . . . 1 . C B G = " . B * ! . C 8 8 . D 96 : . 9 * * B B:! 6 . . C * 8 . . C ! * . C 8 . * . " . 4 56 ! . ?. * . " " * # * * ! # * 8 . 8 8 9 : * * N07O! * 1 8 " B. C B 1 * . C ! . C ! . 8 ! . 8 " ! . 8 . ! 8 8 * . . '!'! 6 . . '!$ B G = " . B . C * *. ! *. 2 . . 6. *. . 3 " " ! . " " . C . 8 ?. 8 . !

-;-

=

. ! ! 9. : . = = . 9. * 0 . $%:! * 8 * " = = = . . C . . . 4 56! * . C 8 * " * ! * * * ) " ! * 1 8 * " * 1 8 * " * ! 0 6 1 *. ! 3 . 8 ! ) 8 * !

(14)

" # $% )7 *; < = = ; . * 2 6 6 4 56 6 .* # * . . * '! .* C ! * *. 9 = = : . ! C * . ! * ? * * * 8 8 8 = . ,1 * . " ! .* * " C 8 * " 1 * ! 4 561 8 8 . =. * 8 .* 1 8 " " .* . 1 * . * '! -; < = = ; , . C 8 . " ! 4 56 " 4 56 .* "

(15)

" # $$ )7 . * 1 . 1 ! * . 8 " 8 " . ! . * . " ! . * 8 . " * " * 1 " . 8 " 8 @* . . ?. ! * . * !

(16)

" # $0 )7

0

(

= ?

. C . * . " . 4 56 ! * @* 8 @* 8 .* . ! 8 @* * @* 8 8 8 ! 8 1 * ! 6 8 . " 1 * 8 8 . 8 ! 6 * * = = ! . 1 8 . . . 1 @* * = = ! 6 . C @* 8 * 4 56 1 @* * ? 8 @* ! 8 8 8 * .= . . 9 ! ! * . :< 6 . * * *.. ! * * " . .. 1 . " 1 * @* * . @* * ! 8 8 .! . 1 * 8 * " ! # . . " . 4 56 8 @* 8 " 8 2 * 8 < . * = = < 8 ? * 8 * 8 P4 56 < 4 56 *.. < @* 8 8 * ! ? . 8 * . 8 @* !

(17)

" # $' )7

3

>

5

=

. C * . ! * " 8 ! 8 * 1 " . 8 . ! 4 * & 8 . ! 8 * & . ?. ! $ $ $ % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % ( = @ $ $ $ % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % ( = < A %$ $ $ $ $ $ $ $% % % % % % % % %$ $% % % % % % % % % % % < % % % % % % % % % % % % % % % % % % %$ $ $ $ $ $ $ $ $ $ $ % % % % $ $ $ $ $ $ $ $ $ $ $ $ $ $ % % 6 " $ 0 ' & / ) 7 ( H $% $$ 0$ $' $& /$ )$ $7 0; = > ; 6 . . '!' 4 56! 8 " ? 8 ! * " . ! 8 " 1 . 8 *. ! 8 * 8 * 8 8 8 ! 6 8 B G = " . B . C 8 " 8 ! # . 8 ! 6 8 " . ! 8 # * " 9. * /:! * .* * 8 . ? .* ! 3; B B = ; 8 * 8 # * " * ! 8 " 8 ! . ) 8 * 8 8 8 # * " 8 ! 6 * 8 # * 8 1 # * " 1 8 4 56 . / 8 * . ) 8 ! . 7 8 * 8 8 . 4 56 ? . 9(: 8 . " * " . ! / = ?. * !

(18)

" # $& )7 8 ! * * * * ! " * )! # * ?. . ! 6 . " . = ! 8 . * 4 56 # * . # * ! $ $ $ % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % ( = @ $ $ $ % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % ( = < A %$ $ $ $ $ $ $ $% % % % % % % % % % % % % % %$% % % % % % % < % % % % % % % % % % % % % % % % % % % % % % % % % % % % $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $% % $ ) $7 $ $ $0 $' $& ( H $% $/ & / ) 7 6 " $ 0 ' ); = > C = = = <;

(19)

" # $/ )7

)

<

.* . . C . . " . 4 56 ! 8 * ! 4 . 8 8 8 ! 8 8 * . * 8 * ! * * .. ? ! . )!$ 8 * * ! * * ! * ! . . )!0 . @* ! 5 8 = 8 * D @* . C ! @* . . )!'! ? . . 9)!&: * . ! 8 1 ? 1 * = ! 8 8 8 * . . )!/!

);!

A

=

<D 1 &

# * * ! 6 .* * .* ! 4 . C .* ! * ! " . . 9. . : *. . ! 6 8 # * " 8 1 8 8 . * ! # * " * . . ? * ! * 8 * 1 * * " = . ! * 8 * 8 = . * 91 8 " . 8 # * " !

);* &

* 8 * !7 ! 8 * . C ! * . .* ! . C 8 @* * * * . @* * . C !!! ?. 8 @* 8 1 . 8 ! * * * ! 8 " 1 * 8 " *.. " " *.. 8 . ! 6 . C 8 . 8 * 1 8 . * 8 * 8 ?. 8 # * 8 8 8 *.. ! 6 @* " 8 ?. ! *.. 8 8 . C . * . ! * ! 9 .* * 1 ! !7 * . . . ! * " =. 8 ! !! 6 8 " 8 !

(20)

" # $) )7 6 ?. C* 8 * *.. " . 8 8 8 8 8 * ! 6 8 . ! * !!*

);- '

= 1 &

# 8 . C * . . 8 * ! 8 * * . . * @* 8 * @* " . ! . ?. 8 ! 8 . ! " " *.. * * ! * 8 .. . * " 8 !

);0 E F

= 1 &

. . 4 56 . ! 8 8 9 . . )!$: * C* . * 8 ! * 1 * ?. " @* 8 * . " ! 6 @* 6 6 * * * * ! ! ?.9:! * * * . *. 9 + :! * 8 " + ! * * $H . 8 ! * * 8 ! 8 .. * * 1 8 8 8 " * 9 + :! 8 . C 8 ? =. " ! * * 8 * 8 . + ! * . " 8 . .. 1 * . . ! 6 8 1 = . ! 8 * .= . * ! 8 8 * 1 8 . 8 8 * 7 . $7! 8 8 E ? #,!- 6 L* * ! # E ? .. 8 " . #, 6 . L* * 8 L* * ! * 8 . * . ! 6 * 1 * . ! !* ? 1 " 8 8 * ! !- . 8 8 E ? I " 8 N/)O!

(21)

" # $7 )7 ,; 1 & C < C G0*H; 6. ! 6 6 # * ! * 9 * :1 8 N&0ON/$O! , 9 . . )!$: 8 * *.. * = ! + 6 6 * =. * 8 * " 8 ! 8 =. * M 1 * . ! * @* * 8 ? =. * ! 6. @* * * @* * . * ! 6 @* 8 * " " . * ? =. ! 6 . 8 " 8 * * ! 8 " =. * ? =. ! 8 ? . 8 " 8 ! @* 8 1 1 . N&(O! # " 8 * 8 @* ! 6 !0! . 8 8 8 8 @* ! 8 # * " * * 1 8 . * 1 * * ! #*.. ! . = ?! 8 * 8 * *.. ! " *. * ! 4 4 56 * 8 +! * * 8 8 ! " " * 1 8 ! 8 * * 1 * 8 ! C* = = = . 8 * 8 ! . 8 * * * 8 !0 G G0%$/ ! # * . 5 1 1 * * 8 E ? #, 6 L* * , * * # * 8 .

(22)

" # $( )7 " ! * * ? ! 8 8 * * . * 9 . . (!7:! * 8 * . * N&&O! . * . * . !

);3 '

<

C

. " * . . . ) * ? 8 * ! L* 8 * ! * * 8 * 8 1 * 8 * ! 9 * C : $! 4 * C 8 * * 8 1 8 * 8 * * C ! * C ? 8 " ! # * . ? 8 " 8 ! 4 * C 8 8 8 @* ! > C . C < C 4 ? . P + + * 9 ! ! ?.1 1 : + .. ? * * 9 ! ! ?.1 1 : 8 * . " = 8 . # " * 4 56 # 8 * 9 ! ! I : !; . C ? C= = 1 & ; A @ = 6 4 56 " * . * ! M 4 56 8 . . * * " ! ? . * * ! 4 . 1 * * . ! - 8 . 8 " ! " * ? ? =. @* * ! * * " =. ? =. * * 8 ! 6 4 56 . * . * ! " * * * 1 * 8 " 8 !3 . 8 ! 1 8 ! !3I 8 @* !

(23)

" # $H )7 + &+ E ; ; A I I F 6 * . . )!&1 * * * . 8 + ! - 8 * 8 . " @* ! + A E ; ; A I I F 8 . * + 1 * * .. ? " * ! + * * * 8 . 9 . . :1 * . . ! 1 C + 8 * . . 1 * 8 " . . ! " * * 8 ! - 8 * . " . 8 8 @* . 8 * ! 6 C = 8 . !) ! - 8 @* . @* . ! . @* ! " 82 B * 8 E ? #, 6 L* * B N&0O! ! * . @* ! @* 8 * ! 6 . 8 8 B # * 8 . B! . " * 1 * 8 " " * ! = 6 * =. 1 . 8 8 * ! * * B8 B . ! - 8 8 . 8 " @* ! * * @* * C* 8 8 1 * 8 * * = ! = C . 8 9 : * 8 * 5 . E ? # 1 * * . ! * * + 8 . @* * 8 * * * . ! * * " * ! * 8 * ! 1 & E ; ; 1 &F - 8 1 * * * 8 * 8 " * ! - 8 * . @* * ?. 1 * * * . * !,1 * * 8 ! !) . . 1 * . ! !, 1 * . . !

(24)

" # 0% )7

,

6

* 4 561 4 56 " = .* ! M ?. 4 56 1 * 4 56 8 ! 6 * " " 8 ! 8 = . " . = * ! *. * * .. ? ! . * !

,;!

:

4 56 * * . ! . . E . ! . * 8 1 . . 8 " ! 6 . 8 . ! . * * ! * E . !

,;*

6 8 * ! * ! . ! # " ! . 8 . . . @* ! * .. ? ! 8! @* 9. . . ?. : . . . .* ! . 8 .. . ! ?. 8 . . 8 !/! * .* . ! * * .* ! * " * .* ! 6 . . ?. * 4 56 ! # 9 . MM : 8 . E ? 1 8 4 94 56 MM : E ?!9! 4 6 C* 8 * ! . 9-6F6: . * " 8 " # 4 ! * 1 !

,;-* 8 " . . 7!0! 6 * *7 .. . " " @* " 1 -6F6 * ! . 1 8 " @* . 8 1 * * -6F61 8 !/ ,! ! E ? #. =) 4 56 * I - 8 ! !9 4 . . B G = " . B . C 8 .* 8 6 . 4 ! *7 * 8 *. . !

(25)

" # 0$ )7 " ! * ! . . 8 * .. ? ! 6 . . . 8 ! * * " . * !

,;0

# . 8 ! * " " 8 * @* 8 * . " 8 ! # * " 1 * . . " 1 8 . *. 8 * . . 8 R&%1%%%!%% 8 *.. 8 ! # * " . !

,;3

& <

* " E . " * " 8 ! . C 8 * *!! * . 8 * **! " =*. . " . C ! 6 * " *. ! 4 8 * 8 . ?. . * .. ? ! . (!$ " . . ! *! " 8 8 8 ! ** 8 * !

(26)

" # 00 )7

/

1

C

6

* 1 " . 1 E ? #. ) E$/% " . ! 6 . ?. *-8 ! 8 " .* ! * .* = . ? ! . * . . ? ! 6 . ? * * * 8 * .* . ? ! 6 * 8 ! 6 * 8 * .. ? I! * " @* ?. 8 * 8 ! . " * 1 * ?. *. 8 ! * ! * * I * . * ! . " " * !

/;! %

C

=

. . 8 " " " 8 . ! 6 " " 8 " .. ? ! . " 9 : " ! * . ! B. B 0( M ! 8 ! * M . ! @* @* @* 8 . ! . * M 1 . 0& * ! . * ! . * * 1 * . * 8 8 . 8 N'/O! 4 * ( . 0' 8 8 8 . . * " * ! 6 * *0 ! # " 8 . *3 0' 8 . * " * ! . ! . 8 " . . ! 6 . . . 8 P ! * " . " . 1 , ! 8 . . . " ! . . &% (/ M! = 8 * ! . ? . . ? * = . 0& *)! . ? C* .! 8 * * ! . 8 * . . ? " ! 1 8 . ? . . ? ! . 8 . ? * ! . ? " 1 M 8 *,! *- 4 = 6 ,G6 - ! *0 M ! *3 . * I # 9 8 " :! *) . . . ? 8 (= . G5 " * ! *, * 8 * * . ? !

(27)

" # 0' )7 /; $ G*H;

/;* %

C

= =

=

=

& <

* * * * * ! , 8 J * * ! * * . * .* . ? ! * .* * * * " * ! B6 B * " * .* " ! 4 * H 8 * ! 4 * $% . 0& 8 .= " * 8 8 " ! 4 1 . ! * 8 8 ? . * ! 8 " */ * . ! " 8 . * . * * ! * M 8 ! * . J * . * ! . . 8 " . . * ! 9; C = & < ; */ * . ! # * 1 = * . ! ? # # *

(28)

" # 0& )7 !7; = = C = & < ; E < ;F J = J= * ?J ?J J J MJ MJ J J J" J" J" . . ? 8J J J 8J. ? J J = J= * 6 6 & < $ 0 ' & / ) 7 ( & & $ & & & <J < G I 5 H G % G $ G 0 J" J" . 8J J" && 8 K J 8J J 8 = K 8J 8J & 0( 0( ( ( ( & <J < G 5 H G % G $ G 0 J" J" . 8J J" && 8 K J 8J J 8 = K 8J 8J & 0( 0( ( ( ( & <J < G H G % G $ G 0 J" J" . 8J J" && 8 K J 8J J 8 = K 8J 8J & 0( 0( ( ( ( & H Q Q Q Q Q Q

(29)

" # 0/ )7 # * . . " * *9! M = * ! " . * * ! * $$ 8! !!; = J = J= ; * . ! + . . 9 :1 8 8 * . . (!0!'1 8 * . " ! * ! 9 * ( . 0':! * M * ! * M M ! . (!7 8 8 ! @* " 8 ! * . ! E ? * . 8 " I 9" :! I @* 8 &%% M $%(% M-7! # 8 8 8 . 8 0(% M 9 &% M * = . 0(% M:! 8 " 8 * " M * -! 8 ! E ? . " * E ? * * . 8 . N&%O! * 8 ! 6 M 8 " * 8 @* ! * E ? " * * .* 8 . " * ! 4 * " " !

!

M * E ? * = M . * . C ! * 8 . 8 * * 8 8 8 @* ! 6 1 8 M E ?! * * * ! 8 * E ? M 8 8 B B 9. '%:! *9 " * " , 1 , . . ! -7 . 8 " E ? M 8 8 *. )% M! -! 6 .* !

(30)

" # 0) )7

"

* " * M * * ! . (!( 8 * * ! * * ! * " ! " . M . . . M ! * " . * @* * M 8 1 * 8 * " ! #* * 8 " * * ! .* M * 8 " -* 8 = * J * !

/;-

& <

. " * * ! . M * 8 1 . . * * * = ! . * 8 8 1 * * 8 * ! * . .. 1 1 ! * 8 ! * 1 * * . ! . " * ! 8 . . " . ! " $ * " * 8 2 B ! " B . 8 .!

CL_0 : CameraLink generic map(

System_clock_frequency => 10000000, speed_CameraLink => 80, CameraLink_mode => BASE, CameraLink_numchannels => 2, CameraLink_numbits => 20, enable_PoCL => false, camera_framerate => 25, trigger_index => 0, chip_family => "Xilinx_Spartan_6" ) !; = & < ; * * . * ! * * . " . ! 8 . " . .* . . * .* " ! 8 * . * ! . 8 . 96= :1 8 " . 8 ! * J * 1 * . 96 * :! " * ! 6 8 1 . 8 . M 8 -* = = 1 " . " 8 !

(31)

" # 07 )7 . ! 6 8 * * . 8 !

#

* 9 : . * * ! * 8 * = ? ! * 1 ! * * M !

/;0

K

=

. . 8 . 8 8 " -- * ! * 8 * I 8 . . . * ! . . 8 8 8 . 8 8 * !

$%

* . " I * ! I =0%%( 8 " ? 1 * . . 8 @* 8! . 8 *.. 8 -01 I =$HH' 8 -3! # ? I =0%%( " * ! ! J J * ! ?. ! J J$$)&1 . " 1 1 . J J" ! 4 * . 8 . 8 8 " I ! -) I =0%%( * * " ! . * I =$HH' * . * 1 8 8 .! I =0%%( B B-,! * . * 8 * * ! = 8 *.. * * ? ! 94 * $0 * !: -- 1 * . ? * . . ? ! -0 8 " I =0%%( *.. ! -3 " . " *.. I *. I $HH'! -) *.. * ! -, * 8 * " ! * .!

(32)

" # 0( )7 !*; = = A = C ; ;

&

&

'

4 8 . * .= . 8 ! 6 8 * . ? . ! 4 56 * * *.. I . * . " . ! * . " . * * 1 . .! * * M #,G ,# 9 M P M : 9 : * ! M 8 ! . * * 8 * " * 8 * . ! . " * . * = 1 " = * 8 -/1 * .* B .J B! " . 1 8 * " 8 1 @* ! * * . * ! 8 * 1 * 1 . 9 0:!

if_spartan_6 : if ( chip_family = "Xilinx_Spartan_6" ) generate

--component instantiation specific for the --Spartan 6 chip from Xilinx

end generate; *; A = 6 = C ; . 1 ! . . 1 * * 8 8 " . -9! 8 . 8 " * ! 8 " . 8 -/ ,! ! " ! -9 8 E ? #,$&!71 6 L* * $/!%1 E ? # $&!7 Q 5 . 6 # , $%!' ! *.. 4 ?

(33)

" # 0H )7 " ! 8 * ! 8 1 8 8 * * . . (!/1 * * ! # 8 . . . . * " * ! #,G ,# " 8 * ! 8 8 * * #,G ,# 8 . ! 8 " 8 8 .* . * 4 56 . ! 6 8 * ? * ! * 8 . 8 ? ? * " " * < = 8 ! 9 * * $'!: " * 1 . *.. 1 * * * . ! . * #,G ,# M 8 " ! 6 . * . * ! " * * 8 1 #,G ,# . " * #,G ,# 8 J * ! ! 1 8 ! !-; = B B = B B = C ; ;

(

)

. 8 ! . ! . * " . " . *. " 8 " " * * ! @* ! J J @* ! * * * 8 ! * * . 8 . 8 . ! " * * . ?. ! 6 1 8 * 1 . " * . . ! 5

(34)

" # '% )7 # . . 1 ! I =$HH' = * = = 07! * " S = . * ! = 1 * @* .. * 1 * ! 8 8 * * =. . ! 8 I * * . . ! 8 S * 1 8 ! . . 8 * . 1 * @* . . 8 8 * ! . ? * !

/;3

& <

* * * " 8 8 @* * . . ! . ! . . * ! . 8 " * ! 6 .= . . @* ! . .! 8 * ! * * * * 8 . 9 . . (!7:! * * ! * . ! . * *.. 8 * " * ! I 8 * ? . ! 8 * 9 ':! " * 8 * . " * " * ! " * * 9 &:! . * ! . * 8 * M !

subtype CameraLinkNumberOfBuffers is integer range 0 to 2; constant AUTO : integer := 0;

-; = & <' % $ ;

number_of_buffers(value,data clock speed, system clock speed);

0; A = = & <' % $ ; 6 * . ! . * ! . = 8 . 8 " * 6#,1 , + 4+ ! " * . 8 6#,T , + T4+ ! 8 @* J * ! # .= . . " ! ! 4 E ? #. )0! + # ! 4 6 I0! J ! I 8 * ! = . ! 6 * * 07 I =0%%( " 8 = ! 0! . . * 8 !

(35)

" # '$ )7

8 " 1 *

! 8 / ) 8;

--Comment next line if not using Xilinx Spartan 6 or compatible hardware

--and include the correct libraries library UNIMACRO;

library UNISIM;

package CameraLink_lib is

--Comment next lines if not using Xilinx Spartan 6 or compatible hardware and make new aliases for the ones needed.

alias chip_specific_components is UNISIM.vcomponents;

alias chip_specific_components_sim is UNIMACRO.vcomponents;

3; = = ; library work use CameraLink_lib.all; use CameraLink_lib.chip_specific_components.all; ); + = = ;

/;)

# " * 0*! # . . * ! ?. * ! " 8! # @* * = @* * = 9 &2': # M " * .* . " N'$O!

/;,

<

J * * " 8 * 8 ! . * * ! * 0- ! . 8 * . . " ! 6 ?. . " .. ? I!

*

* * . ! @* 8 0(% M /H/ M! . 1 8 @* P . ! @* 8 1 M ! * @* " 8 . 2 &% M 9. * & . . (!7!':! J 0* 6 * L#4 1 8 E ? * + 4! " 8 ?! 0- . . !

(36)

" # '0 )7 * ! 4 * $& 8 8 * 8 00! !0; < I = E F <I = C= = <;

*

#

!

J * * .* ! # 1 *. ! " 8 " 1 8 ! .* " 8 ! 6 * *. . " * ! * 1 * ! * " " " * ! . " 1 B B ! 6 * * " * .* ! 4 * * 1 * 8 . 039. * $:! * * .. = ! 8 ? !

$

1

?

!; = = = C <; M * * 8 . " ! * 8 * 9 4:! * * * 4 . .= . 9. * 0: * . 8 4 M 1 * E ? 6 * " ! * 4 . 8 8 " . * 4 00 * . ! 03 *.= = . 1 ! 8 ! & <J < G I 5 H G % G $ G 0 J" J" . 8J J" && 8 K J 8J J 8 = K 8J 8J & 0( 0( ( ( (

(37)

" # '' )7 . ! # " ! >* .= . * ! N O $ 4 % # # *; = # $ G3,H; # " 4 M ! 6 . 8 8 . M 8 * 8 ! + . " 9 = .= . : 8 * N'$ON)O0)!

*

+

" . " * B = B 8 * $/ 9 . '&: * ! 8 " 1 ! 1 8 * ! * " * ! # * * = = = * 94 4 : 1 ! * * * 1 * *.! # @* 8 @* @* @* 1 * " " * C ! * @* * * * '! 8 " " . . B B * 8 ! 8 ! 0 -; = <; @* &% M * (% M! 8 M * * * . ! . 8 9. * $):! * 8 @* @* ! 4 * & 8 * * @* 8 . " * ! ? $%% M &% M1 * ! * 8 . * 1 8 " * / 8 2 U % U % * * * * 0 * * ? 8 ! * " 1 ! 6 * . * 8 1 8 * * * .* *. ! 8 * 0 0; ' C = <; 0) . * !

(38)

" # '& )7 0 * * 3; = ; 6 1 " * ! . * $)! * * " 8 @* ! * * * 9 M : *. . * ! 8 1 8 *. * * *. 8 ? ! , 8 8 * ! 6 8 . " * ! 8 8 ! 6 .* * 1 " 1 . " " * . " * * ! 4 8 ! 8 * " 8 " ! !3; = = < G*/H;

(39)

" # '/ )7 ! ) ; = = K = = < ;

(40)

" # ') )7

/;/ (

. * 1 ! * M * 8 * * * ! * . * * 8 . ! . ! . * * M ! 8 " * * " * * N7O! . (!0!/ 8 !

/;9

6

* 8 ! . 9" : * " ! . " * ! * . . (!H!$! * . . ! # . * 1 8 . " * .* " * ! 6 . . 8 " . . (!H!&! 6* * . * . 1 * * * ! * . * 1 * . 8 = . ! . * * 9. * $7:! . * " * 1 8 . " .* * ! * * .* * ! M " * ! " * ! !,; = = & < ;

,

-

& '

* * E ? # $&!7 ! # 8 . 8 #, $&!7! 5 . . * " 8 * * ! " " 6 # , $%!& ! * *.. E ? * * # *

(41)

" # '7 )7 ! 4 # * * * ! * @* * * # . * * 8 ! * # . " * * ! * 8 * *. . 1 * * ! # 8 8 8 8 8 * * * ! * 8 " E ? . * " * . ! * 8 * J * ! * 8 * . . (!$%!'! * ! E ? * * * " * @* 8 * . ! 8 * " E ? . 8 * * * 8 * N$HO! * 8 * 8 * . 8 8 = 6 ! " * * E ? . ! 8 * ?. *.. 6 !

,

-" . * " * . ! * . 82 I # * * . *. . < * * . @* . 8 8 8 * . 6 . M # * * ? * # * . 8 " 9 : * < * * * * M 8 8 ? 8 8 ? M 8 * # . " * " * 1 ! 8 ? . * 1 * * 8 " ! " 8 8 ! * * 9 .. ? I: ?. !

(42)

" # '( )7

,

./.

#

!

&

)

! M * * ! M . * M ! M . 2 6 6 * . ? 6 * 4 4 = M 8 B . B1 4 4 8 4 4 ! 6. 1 * ! * * . ! 8 1 " .* 1 .* * ! . 1 * 1 * .* ! * 8 " " 9 = 8 : . ! 6 " 8 8 " * $(! * . " * . @* . 4 4 8 @* * ! * * ! * " 8 8 8 * 4 4 ! * ! * . 8 * " ! * * ! !/; = C = C = % = K ;

,

0

)

* . . ! # * 8 . " * ! 6 * . 8 ? ! 4 * $H 9 . &$: 8 . . * 8 . ! @* . 8 * . ! * 8 8 1 M ! M 8 * . ! . 8 " . . ! * " * . . 8 * 8 . . * * ! # " ! * 8 . * " 4 4 8 . . ! 1 * . 8 " . ! *

(43)

" # 'H )7 < = < . 8 " . ! 8 . . 0, * . ! * * * ! . * .* ! " ! . * 8 . . ! . * * * ! . 8 " 8 " ! . . ! . .* 8 * @* J J ! = < . ! . 8 .* " ! . * * J . ! . * 1 * 1 ! 6 8 1 1 . * .! = < . 1 . 1 " ! .* * ! . * 8 . * * * * .. ! * * 8 . ! < : . " 80/ . 8 1 F K! 4 . @* ! . 1 8 ! 6 . " @* ! . " . ! 6 * *. = ! 6 8 8 ?. ! ,! ! " 8 * 8 . ! . . ! . * " 8 ! 0, . 8 * 1 . ! 0/ 8 " !

(44)

" # &% )7 < " . " 8 . 8 1 E K! 4 . @* ! . 1 8 ! 6 . " @* ! . " . ! 6 * *. = ! 6 8 8 ?. ! ,! ! " 8 * 8 . ! . . ! . * " 8 ! < L . " 8 . 8 1 E F! 4 . @* ! . 1 8 ! 6 . " @* ! . " . ! 6 * *. = ! 6 8 8 ?. ! ,! ! " 8 * 8 . ! . . ! . * " 8 ! = < . * * ! 1 . * " ! 1 8 ! . . . " * ! . * 8 ! . . " . !

(45)

" # &$ )7 ! 9 ; = = = C = ;

(46)

" # &0 )7

, " &&

) '

#

" * E ? . " * * 09! 8 8 # * * 8 ! 1 8 8 4 56 8 * 8 ! * 8 1 8 8 * * ! 6 * . * ! * " * . ! " * 8 * ! . " " * J * ! * . " * * 1 * 8 .J BE ?J#. J)B! 6 . * 8 ! ! ! " * 8 * *. ! . E ? * * M N&$O! 8 8 ! M K37! " * * = = ! * * * 1 * 8 !

, 1 -

)

# " " ? * * . ! . " 8 * ! * * 8 " * ! . . " * * . * ! 6 . . (!H!/ " * E ? . * ! 1 . ! * 8 " .* 8 * " * ! * " * B B . " " " * " ! 4 " * . . (!'1 * !

, *

&

" " * " J J" 3!! * " " " * J . ! G 1 * . ! 6 ? * = 7 9 . &': " * 2 B$ $B! 9 @* . !: * 8 * 8 * @* ! 09 # ! 37 M + E " . ! 3! I 0%%( * . ! * 8 " 8 *.. I 0%%(!

(47)

" # &' )7 variable vect : std_logic_vector(17 downto 0);

variable str : string(0 to 100); vect := "110000000000000000";

str := std_logic_vector_to_string(vect);

(48)

" # && )7

/;!7

6

C

6 . " . * 1 * 8 * . ! 8 4 56 @* . ! @* . * .. ? I ! 8 * " * .* ! *.. 1 ! 8 @* 1 * . * 8 * ! . 8 * . !

2

0

6 8 . " * E $' * 8 . * 1 * 8 ?. 1 6 &%%% P 1 8 * . " 1 . ? = 1 * N$0O! * 8 * .* 8 8 8 ! * 8 9 * 0%: 8 @* ! ! " 6# = ? 8 " * ! 0%&( 0%0( . ? 8 = . $% ! ! *7; = 0777 @ ;3*& I = ;

2

3

4

. 8 * @* . * ! 6 . @* . 8 * ! * .. ? I ! 4 , 8 * ! * , 8 * 8 8 .. ! 6 . 8 * ! 8 * ! * * .* 1 9 * : 8 8 ! M 8 *.! " 8 @* 8 = . 8 . 8 * " * . ? 1 * $0 8 * * 8 * . ? 3-! 8 " " 5 . 1 # = 8 * . " ? 3* . ! . 8 > ,5 . . ? ! 6 &%%% * * ? ! 3- * 0( ! . . (!$ !

(49)

" # &/ )7 9 * 0$:! 8 . * ? . 8 4 56 # = # = * . " " . ! *!; = ; 8 " 8 ! 6 30 ! 8 ! . /%=. 4 56 8 * * 1 8 .. " 8 . * * .* . ! 6 M " " * * " * = . * . * ! 4 * 00 8 . ! . E 0 9 8 8: 8 ?. B B! **; = = K C = : * = C < C= A K ; " . . M * ! M 8 . " E ?! * 8 ! 1 * 8 1 * 8 . 8 ! + M . " ! * 30 6" N'O!

(50)

" # &) )7 . * ! * . 8 * 1 * . " I # . ! . 1 * 8 " ! G " " " * 8 ! 6 8 * 8 8 4 ! * * 1 * " 8 33! 6 . 8 8 .* 8 8 8 M ! " 8 * .* 8 ! 6 . * * ! * .* .# . 8 $ ! * 0' 8 8 " * .* * ! .. " . * " ! . " * ! 8 * " . 8 " * 8 * 8 @* ! + * G#=0'0 . 9. . . (!$%!': . " 8 8 8 . = ! 6 * 8 8 8 ! *-; = = C = = = = ;

2

/

" .* * . .* ! G#=0'0 8 8 G6 ! * J ! 9 = .: G6 " G#=0'0 ! 1 . . (!$%!$1 0%&( 0%&( . ? ! 1 * . 1 / 9 * . * 0&:! : 9 / $%0& /10&01((% ((% 1 0&0 1 / ( &$1H&'1%&% %&% 1 H&' 1 &$ $% &$H&'%& . ? '%& 1 $H& 1 & 0%&( 0 0 *0; = K ; 8 * G6 * = M ! * * . . M * ! 8 . 33 1 8 * " B 8B * " " * !

(51)

" # &7 )7 8 M ! 6 M 8 " *. * . ! 8 * . ! ? * 3) M '0% ! 8 0% 9 8 = . ? : . $'$1%70! M 0)01$&& . ? ! * " . *. $) . ! . " *. $0( ! 6 * * * . ? . * ! ! 6 * * *. $0( " ! " 8 *. ! . ? 8 * $$/0%%! 4 * 0/ 8 ? . " J * ! * * 8 8 ! 8 8 8 .* ! *3; < = C = ( 6*-* ;

2

/

!

M * = M 6 &%%% 8 * / ! " 1 8 . . (!$%!0! . * * 0/)0 90/) 0/) . ? : = .. 8 8 /$00 * = ! + . * 0& M /$00 * '0% ! M 0/)0 * (% ! 98 '0% :! ? * M 8 M /$0 /$0 . ? ! 4 * 1 . * 0) . &(! # . . ? = =. ? 1 . . ! 1 ? 3,! 3) * ? * M 1 * . * . M * * . . 8 0 * ! 3, 8 8 #. ) ! # + ( 4 +6G E J

(52)

" # &( )7 . ? /$0 /$0 /$0 0)01$&& . ? $&& 1 0)0 $% &&% 1 )0$ 1 0 M &&% 1 )0$ 1 0 ( )(% 1 '07 M )(% 1 '07 $%0& '0% *); = K 3!* 3!* ;

2 "

0

6 . . (!$%!0 * 8 ! * * J * 8 G#=0'0 ! 8 @* 8 8 ! . 9. * 07 8: 8 * 0% . &&1 * * ! * 07 M * * ! 1 * 8 * . ? 8 @* *. ! 8 '1&$& . ? * . ? ! * 9 :. ? 8 M ! *,; I ? ; * 8 8 4 56 .* * " ! 6 * 8 " ! . 8 8 8 . " * ! 6 @* 8 ! 8 * " ! . #,G ,# 8 * ! 6 * ! 8 @* (/ M! * M ! . " 8 . &% M! . * . ! . * 8 * " . ! J * 8 8 * " 1 8 ? 8 8 * ! * * 0(! 8 8 ! # 8 8

(53)

" # &H )7 * . ? 1 * 8 * . ? ! . ? . . " 0! 8 *. 1 * 8 ! */; I ; ? . ,?. G 6 * G " $ $0( 0)0$&& 0)0$&& % % 0 0/) /0&0(( /0&0(( % %

' '(& 7()&'0 7()&$( =$& =$&

& /$0 $%&(/7) $%&(/0' =/' ='H

/ )&% $'$%70% $'$%))) =/& =$ ) 7)( $/70()& $/70(%( =/) =0 7 (H) $('/%%( $('&H$) =H0 =') ( $%0& 0%H7$/0 0%H7%)% =H0 % H $$/0 0'/H0H) 0'/H0%& =H0 % $% $0(% 0)0$&&% 0)0$'%( =$'0 =&%

$$ $&%( 0(('/(& 0(('&0( =$/) =0&

$0 $/') '$&/70( '$&//70 =$/) %

$' $))& '&%7(70 '&%77$) =$/) %

$& $7H0 ')7%%$) '))H()% =$/) %

$/ $H0% 'H'0$)% 'H'0%%& =$/) %

$) 0%&( &$H&'%& &$H&$&( =$/) %

*; & C = A ; * . ? * . 8 " ! " " 8 . ? * ! 8 8 * 9H)%%: * * 8 . ? ! * . ? 1 " . ! 8 . * * * 8 * 8 * . ? 8 ! * = * =H * . ? ! * 4 56 .* ? . 8 *.. 4 561 . . . 8 *.. . .! * ! 6 " .* * 8 8 1 8 8 . ! " . +# =G#0'0 " " . * ! 1 8 " 8 .

(54)

" # /% )7 . 1 * . ? ! 8 9. * 0H:! 8 @* ! . 8 ! . 1 8 . ! * . ? 1 * . ? " * ! *9; I < A ; " 8 )& 8 )& ! J * ! 8 . 8 . . 90/)%%% * :! 0V * * . &W3/ * ! 8 = * ! * . .* * " 8 ! 4 C* 8 . ? " 8 " * 9 * '%:! . ? 8 ! # . ? 8 ! # 8 . * 8 8 1 1 ! .. " . . ? . 9. * '0:! -7; = = A ; 3/6 * " ! %&2$) * %&20&!

(55)

" # /$ )7 " 8 8 * ! ? *. " * . . ? * ! . ? *. 8 * * 1 8 ! * * '$! 8 " ! 8 * 8 * ! -!; ( I ; * C* * 1 * @* * " ! 4 * '0 8 . ! * . ? . . ? 8 * ! 8 . * ! . *. . ? ! 8 ! 4 " 1 *. 8 . ? *. ! . 8 * ! # * 1 " ! 6 . 8 ! -*; ( I C = C A ; 8 * ! 8 1 8 ? . 8 . ? *. ! 6 ? . ? 8 ! 4 * '' . /0 8 ! *. . ? ? . ? .. ! . . ? 8 "

(56)

" # /0 )7 * 8 ! ? . ? 8 " 1 1 * ! --; I = K A ; 8 8 " * 1 8 ? . . ? . ! 9$) 8 . ? :! . ? " * . " * . * " ! # " " ! 8 * 8 ! .. 1 * 8 . ? ! 6 8 8 " 9. * '&:! 6 8 * . ! # " 8 . ? 1 * 8 * .* . ! " 8 ? . ! 6.. ? I 8 ! -0; ;

(57)

" # /' )7

9

. C 8 *. . * 8 . " . 4 56 ! 8 @* . @* " . ! @* 1 . & 8 * . 8 @* ! . . )!' . 8 8 * ! 8 @* * 1 8 8 ! * ! # * *.. ! * 8 8 ! * 8 " * ! @* 6 6 * . " * ! 6. * * 8 @* ! * =@* 8 8 1 8 @* 8 ! 6 . * !

9;!

C

=

= ?

8 @* * =@* " . ! 8 8 ! 8= = = C M * 8 8 ! * 8 @* = ?. ! 1 . 1 . " ! 6 1 &6 = M 6 * = * * " ! . )!$ * * C . .. ? 8 * C * ! @* 8 8 . ! . 1 * ! C= A = < C = C @ M * . . )!/! 6 . . @* 8 * ! # 8 ? 8 * @* 8 $! * * C 8 * ! * C ! . 8 ! # 8 * 8 # * * 39! 8= = M * . . )!/ 8 ! 6 *.. ! >* 8 * 8 1 8 . . * . . (!&!

9;*

C

=

?

# . . " . 4 56 < ! 6 . 8 * 4 56 @* . ! * 8 8 . M ! . @* ! 39 * * ? * " ! # 8 * * 8 8 * # * "!"!

(58)

" # /& )7 < ! 6 * . 8 8 B * B 9# * : 9 : . ! 1 * @* ! * . " * 8 4 56 * !

9;- (

=

& <

8 . 8 ! * . . * ! * " ! * . " 8 1 8 = . ? @* &% M!

(59)

" # // )7

!7 (

. * . . . ! . . 8 * * * . C ! . . 8 .. * . C ! . M * # ! 6 9 # : " 2 * # * * * 4 " " ! G 8 * 9 : " . * . ? * . * ! 6 * 1 * . 8 * 9#:! # * M * 8 * 8 * . C . ! * 9 : * . @* " * ! 8 . 8 * 9 :! * . * * " 1 . 8 !

!7;!(

= 1 &

* . * " 1 . ! . . 8 * ! * ! 6 8 1 * ! 8 @* &% M! * " * * 8 " ! G . ! # . * * 8 ! 8 " * ! * * 8 " ? ! * M J * ! 8 8 ! * * * ! + M 8 * 8 . ! M * 1 * " ! # G " M ! * " . 8 M 8 * 4 56! * . * ! 6 M " ! " M . 1 = * " 8 !

(60)

" # /) )7 # *. ! G " * * 1 " . 1 ! * . * ! # . * * " ! # G 8 J J" J J * J J" J J 8 ! # . 8 * @* 1 ! 6 . 8 * 8 ! # ! * ? ! 8 * " *. " * * ! # . * " ! ' * 8 ! 4 .* * .* J J J J .* 8J .* 8J. ? J -; = ;

!7;*(

=

. C @* ! * * . C 8 ! 6 @* ! " 8 * ! 6 * # * " * .* ! * . * ! . 8 . " ! 6 B G = " . B . C . 8 8 8 " . ! 6 * * . !

(61)

" # /7 )7

!7;-&

6. . . C ! ! # 8 @* ! 1 @* * . C ! = * 1 " . 8 1 * . C * 8 ! # 8 " . E ? 8 * . * * ! * . I " * )7 * ! 6 @* ! # * . " . * * * ! )7 * # !

(62)

" # /( )7

!!

9 :6 M 9 . : D 8 . .! = . * * " * ! 6 = . $% " * % $%0'! E 6 " = 8 . 8 *.. " N0)O! . 6 B" * .B 8 . 8 * ! M " . M ! ! ! .* * .* . ! , 6 B" * .B 8 . ! 5 6 I 8 * 8 * " ! # S = * ! BI * . B . 8 . ! 6 = . .* * ?. . .* BI * . B 8 . ! = . 6 * * . P @* . ! . 6 8 .* * ! ? . * * " * . ? ! 6 . ? . $% . ? " 9 : " * % $%0'! " " . . 8 8 1 * 1 . . ! = . #. #. 6 8 .. 8 . ! . P * 8 ! = 96 : .* * .* ! 9 * .* % 1 * .* $ .* 9 . :

(63)

" # /H )7

!* (

* * * 1 * . ! # 1 * * ! 4 8 . * ! B * B! * * . ? * !

!*;! A

N$O 6* 2 * 2 6.. 6 %0$ I$!% 2 >* 0%%& I 8 2 0( # . 0%$/ N0O 6* 2 ? * 2 ? * #H% G0(7P #H% G0((6 X'!'I G , # I # 0(= = (/ M: 2 $HHH G " 2 0%$' N'O 6* 2 6" 2 6" #. 96 : G " $!%% 2 + 8 G " 2 H 0%$%)! N&O 6* 2 2 #. # 4 5 2 0%%% N/O 6* 2 6 2 6 =&%%% . * 2 ) 0%%' N)O 6* 2 E ? 2 #. =) 5* # 9" $$!&: 2 0 0%%H N7O 6* 2 ! ,! * 1 ! 2 # * G < 6 * G < * Y 8 8 " 8 8 * < G " $!$ 2 0%%0 N(O 6* 2 6 . 2 4 = + * "0!' 2 + 8 NHO 6* 2 6 " # D 1 6 6 " # ID > 2 ?. ? G "! $!% 2 07 " 0%%H )! * ' # . 8 * * * !

(64)

" # )% )7 N$%O 6* 2 * 2 ,?. = 6 " " 8 ,?. # 2 / " 0%$/ N$$O 6* 2 * 2 * E $' "$& * * 2 # . 0%$0 N$0O 6* 2 6 2 * 6 , &%%% P 2 ) 0%%' N$'O 6* 2 * 2 + * I$= $%0&, # # 6 # # . 0%$& I$!% 2 # . 0%$& N$&O 6* 2 E ? 2 #. =) 4 56 # G * + 5* "!$!7 2 0$ 0%$/ N$/O 6* 2 E ?D # 8 2 # * =# * # M M 9*. $%/% P : "$!0 2 $H " 0%$' N$)O 6* 2 65 2 , " Z 9 : # 9# *.1 6 " 1 : 2 # . 0%$0 N$7O 6* 2 ? * D # * 2 5* 2 0%$$ N$(O 6* 2 I 2 2 0( 0%%$ N$HO 6* 2 5 *"" 2 # . * .? . E ? #,$%!$ ,,$%$P,,0%$ P,,/)% * 8 +# # 2 0) > * 0%$0 N0%O 6* 2 ! 2 + 5* 2 0%$0 G " 2 0%$/

(65)

" # )$ )7

!*;*8

N0$O 6* 2 * * * 2 # * 2 # + 9# :N( 1 0%%)D *. 0%$&O . ' 2 * 8 6" 2 .2PP888! . ! P P.* P = * I 8 2 $' " 0%$/ N00O 6* 2 2 6 * 2 * 8 6" 2 . 2PP888! ! P P * = P I 8 8 2 0/ 6* * $' 0%$/ N0'O 6* 2 2 2 * 8 6" 2 . 2PP888! ! P P 8 P ?. P = P = P I 8 8 2 0/ 6* * $7 " 0%$/ N0&O 6* 2 2 . 2 * 8 6" 2 . 2PP888! ! P P P ?. P = P . P I 2 0' " 0%$/ N0/O 6* 2 2 . 2 * 8 6" 2 . 2PP888! ! P P 8 P ?. P = P . P I 2 0' " 0%$/ N0)O 6* 2 E 2 E < 2 * 8 6" 2 .2PP888! ?. ! P ?. !. . I 8 8 2 0& 6* * 0( 6* * 0%$/ N07O 6* 2 ! 2 1 # * = # * = 2 * 8 6" 2 .2PP ! 8 ! P. * P * P I 8 8 2 0) 6* * 07 6* * 0%$/ N0(O 6* 2 ,, D # * I 1 6 #! 1 6 2 2 8= 1 + * 2 $7 >* 0%$0 6" 2 .2PP888! ! I 8 2 0) 0%$/ 2 8 !

(66)

" # )0 )7 N0HO 6* 2 , 8 D C " 1 6 > Q " * > 2 2 # M @* * = # Q 4 56 2 '% # . 0%$& 6" 2 .2PP888! ! I 8 2 0) 0%$/ N'%O 6* 2 ! * D 6 ! 2 E ? #,G ,# , I # [ * 2 00 0%$' 6" 2 .2PP888! ! I 8 2 ( # . 0%$/ N'$O 6* 2 6# D 5* , 2 8 9 : 0 44 # M 2 $% 0%$0 6" 2 .2PP ! P P 8= = = = =0 = M P I 8 8 2 $) " $7 " 0%$/ N'0O 6* 2 ! 2 # * # * = 2 * 8 6" 2 .2PP ! 8 ! P. * P * P I 8 2 0/ 6* * 0%$/ N''O 6* 2 E 2 E 2 * 8 6" 2 .2PP888! ?. ! P I 8 8 2 0& 6* * 0( 6* * 0%$/ N'&O 6* 2 L* M D > , 2 E 2 $ 4 * 0%$' 6" 2 .2PP888!@* ! P PH%H/)= = ?. I 8 2 '$ 6* * 0%$/ N'/O 6* 2 I # MD I # M 2 2 * 8 6" 2 .2PP888!" M! P 8 P ! I 8 8 2 0 # . ( N')O 6* 2 * 2 6 8 1 * 1 4* * < 2 07 4 * 0%$' +. 2 0& 6. 0%$/ 6" 2 .2PP ! ! P.* ! P P 0,,(% ( '($ )$ 0()0/7 $4%%/)7&6/ I 8 8 2 ' # . $ 0%$/

(67)

" # )' )7 N'7O 6* 2 E ? 4 * D . ! 8 2 #. ) G " 2 ' 6* * 0%$0 6" 2 . 2PP * !? ?! P /P5 = = * P#. =)= = =G " P =.P0/0)&% I 8 2 7 # . 0%$/ N'(O 6* 2 # ,? ! = , , D C7// 2 8 6 E ? 2 H 0%$' 6" 2 ! ? ! P@* P)%'$HP I 8 8 2 0% $H " 0%$/ N'HO 6* 2 E ? 2 #, = # * 2 * 8 6" 2 .2PP888!? ?! P *.. P * P 8J * P? ?$$P J J * J ! I 8 8 2 0% $H " 0%$/ N&%O 6* 2 E ? 4 * D 8 2 +4 . 9 " #,G ,#: 2 $& >* 0%$& 6" 2 . 2PP * !? ?! P /P#. =4 =4 56 P +4 =. = " =#,G ,#P =.P&(H()%< \/ I 8 2 0' " 0%$/ N&$O 6* 2 E ? 4 * D " # 2 # . * " * . " < 2 07 0%$/ 6" 2 . 2PP * !? ?! P /P# * = =I P # = .=* = " = * . = " P =.P))0%%7 I 8 2 07 0%$/ N&0O 6* 2 ! 2 2 * 8 6" 2 .2PP ! 8 ! P. * P = P I 8 8 2 07 6* * 0 0%$/ N&'O 6* 2 ! 2 I ? 2 * 8 6" 2 .2PP888! 8 ! P. * P" = P I 8 2 07 6* * 0%$/ N&&O 6* 2 ! 2 + * . 2 * 8 6" 2 .2PP ! 8 ! P .P P ? . P* = * . = = = = ! I 8 2 $& 0%$/

(68)

" # )& )7 N&/O 6* 2 6 6 D 2 6 @* * = " P . 2 $& 6* * 0%$/ 6" 2 .2PP ! 8 ! P P 8 P0''('7 I 8 2 07 6* * 0%$/ N&)O 6* 2 6 6 D 2 BG @* * ? * = = B 2 $) 6. 0%$/ 6" 2 .2PP888! 8 ! P P 8 P 0%'H$' I 8 2 07 * * 0%$/ N&7O 6* 2 6 6 D 2 4 * * *.. " ?. D .* . *.. " 8 4 G * 2 ) >* 0%$/ 6" 2 .2PP888! 8 ! P P 8 P 00(&H' I 8 2 07 6* * 0%$/ N&(O 6* 2 6 6 D 2 , 2 8 ?. . . *.. 2 $H >* 0%$/ 6" 2 .2PP888! 8 ! P P 8 P 00&/0& I 8 2 07 6* * 0%$/ N&HO 6* 2 6 6 D # * - . 2 8 * ?. * < 2 00 6. 0%$/ 6" 2 .2PP888! 8 ! P P 8 P 0$0()$ I 8 2 07 6* * 0%$/ N/%O 6* 2 6 6 D 2 1 # 5 I PI . C 2 $0 0%$/ 6" 2 .2PP888! 8 ! P P 8 P 0$)'H& I 8 2 07 6* * 0%$/ N/$O 6* 2 6 6 2 2 *.. 44 # * < 2 0$ 4 * 0%$' 6" 2 .2PP888! 8 ! P P 8 P " 8J P'0)H/$ I 8 2 0 0%$/

(69)

" # )/ )7 N/0O 6* 2 , , > * D # . " #* # 1 2 4 56 . 6 6 # * 6 2 0/ 6* * 0%$$ 6" 2 .2PP888! C * ! P " P P 0%$$%(0/= 8 P I 8 2 07 6* * 0%$/ N/'O 6* 2 1 # 2 I 2 = " # ,?. 6 6 + 2 & # . 0%$0 6" 2 .2PP888! ! P # P0%$0P%HP%/P I 8 2 07 6* * 0%$/ N/&O 6* 2 D G ? 6 1 * @ 2 * 8 8 # < 2 & 0%$0 6" )*2 . 2PP888! ! P .P. P$($7&(&=$$07&$')7 I 8 2 07 * * 0%$/ N//O 6* 2 ! 2 * 2 * 8 6" 2 .2PP ! 8 ! P .P * P* P * = = = * = ! I 8 2 07 6* * 0%$/ N/)O 6* 2 ! 2 4 56 2 * 8 6" 2 .2PP ! 8 ! P * P . = P * = 8 =? ?= = = = .! I 8 2 0 0%$/

!*;-% =

N/7O 6* 2 >!,!>! . *8 2 # , $ 0%$'P0%$& * . 2 # * 2 * 8 N/(O 6* 2 ,,, 2 # I # 9 ,,, # $%7)!'1 + ,G J# : I 2 0!& * . 2 I 2 $0 6. $HH/ )* " *. 1 * 8 * 5 = 8 !

(70)

" # )) )7

!- &

4 * %! .* 4 56! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! . 4 * $! # . ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!( 4 * 0! ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!$% 4 * '! # . . !!!!!!!!$% 4 * &! # . C . !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!$' 4 * /! B B ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!$' 4 * )! # . C 8 ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!$& 4 * 7! 8 8 N&0O!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!$7 4 * (! . N0O! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!0' 4 * H! 6 " " 8 * ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!0' 4 * $%! 6 " " 8 * ! 9 * !: !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!0& 4 * $$! J J * !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!0/ 4 * $0! *. *.. ? 8 "!"! !!!!!!!!!!!!!!0( 4 * $'! *. B B B B 8 "!"!!0H 4 * $&! 1 * 9 * . : 1 8 . * ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!'0 4 * $/! I N0(O! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!'& 4 * $)! M !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!'/ 4 * $7! . * * !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!') 4 * $(! # " 8 8 4 4 M ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!'( 4 * $H! * 8 . !&$ 4 * 0%! 6 &%%% P ! 2 * 1 2 . ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!&& 4 * 0$! # !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!&/ 4 * 00! # M 8 E 0 8 . 8 ?. M ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!&/ 4 * 0'! .# . 8 . ! !!!!!!!!!!!!!!!!&) 4 * 0&! * M !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!&) 4 * 0/! # . 8 G#=0'0 !!!!!!!!!!!!!&7 4 * 0)! * M /$0 /$0 !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!&( 4 * 07! 4 1 @* ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!&( 4 * 0(! # 1 ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!&H 4 * 0H! 1 ?. ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!/% 4 * '%! # " . ? " * ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!/% 4 * '$! G 1 . ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!/$ 4 * '0! G 1 8 * 8 . . ? . ! !!!!!!!!!!!!!/$ 4 * ''! 1 " M . ? !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!/0 4 * '&! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!/0

!0 &

$! " * * ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!0) 0! ,? . .= . 8 !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!0( '! * . * * ! !!!!!!!!!!!'% &! ,? . " * * * * . !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!'% /! ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!'$ )! + !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!'$ 7! ,? . " J J" !!!!!!!!!!!!!&'

(71)

" # )7 )7

!3 &

$! - 8 @* 8 * ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!$( 0! 8 * . ? . ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!&H '! # ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!/)

!) &

4 * $! # * 8 !!!!!!!!!!!!!!!!!'0 4 * 0! 4 * 4 N/7O! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!'' 4 * '! 4 * * ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!'' 4 * &! 8 * * ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!'' 4 * /! 4 * * * * ! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!'&

(72)
(73)

* . 2 &

. 2 * . 8

* 8

8 # * ! * 8

(74)
(75)

$ ! $ ! % $ ! # ( )% * & + ," -" -.. / / (0)% $ 1 + $ !#$ 2 $ (3)% $ ! $ 2 $ & + ,% * " * ! % ( )% $ $ $ $ 41 + 4 % # & ' ! $ ! $ (5)% ' ! 2 ! 6 2 (7)% $ " $ 2 $ (8)% 4 # * $ 1 + $ (9)% $ !#$ 2 " * 1 + % ( :)% ' , 1 + $ ! $ % * 1 + ; < $ % ( )% $ = + ! ! 2 & ( :)( 0)% > % * $ % , $ % - 6 > % * * $ % ! % $ $ * 6 2% 2 $ ? % , ! $ > ( @)( 3)( )( 5)% $ ! " $ * ( 7)( 8)% & ' ! * ! $ 2 ! % > $ $ * * % * , ! $$ & + , ! $$ % $ $ & + , !% $ $$ > $ 2 ! A B ( 9)% $ $ % $ < $ % * * $ " $ $ % 2 $* $ % $ ! $ $ $ * % C 2 ! $ $ & + , !% 1 * * 41 +" 4 $ (@)% 2 ; % ! $ * 2 %

(76)

0 $ & + , ! % > $ 2 D % -.. !% ++ A' B $ * A+ 2B% ! $ $* " $ * 2 *% > 2 > $ 2 D # C ? % 2 ( 9)% 1 + - # % * % 1 + - ! # $ % ! # $ * ! $ % ! (@)% ! ! ! $ > 1 +% 1 + - 2 $ % $ ! ! E 2 $ % $ 2 ! $ % $ * 2 % & # " $ * # * > % & + , A& + , B > ! ++ 4 1

(77)

@ ( ) F & ' ! % F G- - , !G - F ! $ F FHH % ! % H H !H H # # # #$ !% 4 F 07 0: (0) F & ' ! % F G' # IG - F ! $ F FHH % ! % H H !H H # # # # % 4 F 07 0: (@) F & ' ! % A0: 0B F 1 + - C ? " @%5 0: - F & 0: 0 / F & 0: (3) F & $ - J F G 1 + - " * 41 +H4 < G - F 0 & * 0: $ F FHH % ! % H $ H H0 5@93# # # # * # # # # # < 4 F 07 0: ( ) F & ' ! % F G, ! $ 2 1 + G - F ! $ F FHH % ! % H H H H %$ !$ 2# % 4 $ F 07 0: 08 0: (5) F & ' ! % F G& ' ! + G - F ! $ F FHH % ! % H H H H # # # % 4 F 08 0: (7) F - =/J K ! 1 ! F G 1 + , ! , 2 G - F 3 $ 0: @ $ F FHH %$ ! *% H ! H L1 +L, !L, 2 4 F 08 0: (8) F ,&6 F G & 4 ! $ ! $ 2G - F 0: : $ F FHH % % H H % I M0 079: 4 F 08 0:

(78)

3 (9) F & $ F G1 + G - F ! $ F FHH % $ % H3 5H # # # % 4 F 0 $ 0: ( :) F & + , J F G ! + $ * * , ! * # 1 + G - F 03 K * 0: 0 $ F FHH % ! % H $ H H 059 :# !# $ *# # *# #$ !# # # *# # # # 4 $ F 07 0: 08 0: ( ) F F , !#, 2 , " %: - F $ 0:: ( 0) F & ' ! % F G1 + 4 G - F ! $ F FHH % ! % H H # H 4 F 08 0: ( @) F N $ J & F G F , 8%: @ G - F & * 0::8 $ F FHH %* $ % H I M 2>&7 0 4 F 08 0: ( 3) F & ' ! % F G C , , ! 1 + - G - F ! $ F FHH % ! % H H H 2 H # # #$ # #$ ! # # # % 4 F 08 0: ( ) F & ' ! % F G- 6 2 O* > - G - F ! $ F FHH % ! % H H # H !# #2 2# * # # # % 4 F 08 0: ( 5) F J ! F G F $ *G - F & * 0: 0 $ F FHH % % H H % I M@3 03 4 F 08 0:

(79)

( 7) F 6 2 C - * J % 2 P $% F G& $ ! $ ! $ 2 $ G - F 7 $ 0: @ $ F FHH %2 2% H H #C # H& $# !#$ !#$ 2# $# H # H@9@@ 7 4 F 07 0: ( 8) F ! J ! F G # ! & + ,G - F 0 K * 0: 0 $ F FHH ! % H> H89 @7 9H # # # !# $ 4 F 07 0: ( 9) F F G - , $$ & ! > $ 2 G - F 5 0: 0 $ F FHH % % H E H H0: 0H $ L L $% 2 4 F @ 0: (0:) F & ' ! % A& 0: B F > $ 2 D # C ? " 0: - F $ 0:: / F $ 0:

(80)
(81)

* 2 /

. 2 * . E

E !

2 * 8 8 * 8 6* * 0%$/!

(82)
(83)

% )*+&+,-%+ .* % $ ! / % ' ( 0 0 % $ 0 ! 0 % $ ! / ! 1 0 .! 0 0 2 + +% $ 0 3 , 3 4 + 0 1 5/ / 0 # ' 6 7 / , 8 9 :+%$ ; % /$' < = 5& 0 ! " 1 5/ % 0 1 5/! " >1 5/+0 > > +1 5/+0 >! 2 0 0 % ' ( % $ 0 0 ! " + 1 5/ 0 # ' 0 ( ! # %$ ! 0 ! 1 5 : # ' 8 0 ! / 0 0 0 %'! / # ' 8 0 0 ! 0 % $ % ' ( 8 ! 1 0 </?/ 1 5/ 0 ! # </?/ 0 ! 1 5 % $ % 1 5 00 0 < ! / % 1,% ! 1,% %$ . 1 5/ , 33 % % $ </?/ ! 0 0 0 1 5/ ! ;+0 0 0 0 ! 1 5/ , 33 % % $ 6</?/7 0 ! 0 0 0 ! # + 0 !

(84)

@ # , 33 % 6# ,%7 % $ 6</?/7! 1 5/ , 33 % % $ 6</?/7 0 ! " 8 5 ! ( # %$ </?/ ! / # , 33 % 6</?/7! 0 0 0 ! ! 1 5/ , 33 % % $ 0 0 % $ 9 ! ! @ 50 ! A B!@ 50 ! B!@ 50 0 0 ( ! / ! ' ( 0 1 5/ , 33 % % $ 6</?/7 6 7! C 0 1 5/ ! </?/ </?/ D D ! ! ! 0 ! 1 </?/ 0 < % $ 1 5/ ( 8 ( 1 5/ , 33 % % $ ! 1 1,% # ,% </?/ # ,% 1,% ! 0 0 $ 8 / 0 ! 0 @* !

(85)

& / @) / @* 2 </?/ </?/ ( ! ! D ! / 8 0 0 ( ! / & / @* 2 8 ( 0 0 ( ! 0 (! 1 00 ! 0 ( ! % ( + ! + 0 ! / 0 @* 2 " 0 </?/ 0 ! 8 0 0 ! ! 0 B!@ 50 0 8 B! @ 50 ! 0 0 ( ! / + 1 5/ 0 0 0 ! 4 0 +B 0 0 0 ! 0 0 ! / ) 0 @* 2 < 0 ! = 1 0 8 ! ! ( ( </?/ 0 ! + + ( 0 ! D 0 ( ! 0 ! ( % - 8 0 ! ! 8 B* 0 ! 0 0 ( !

(86)

. , </?/ </?/ </?/ </?/ 5 5 , 8 ; < % $ 1 5/ 5 ( % $ 1 5/ # %$ 1 5/ , 33 % % $ # , 33 % 6# ,%7 % $ % $ % 1 5 00 : 6% $ % ' ( 8 7 9 :+ %$ % /$' ( = 5& 1,% %$ . E ) . . . @ . . . %; & A- ( & A- ( ? ? ? ? " 95" 95"/ ?4: , ! " 6@8@ 7 " 95" B @ 50 - ( B @ 50 - ( B @ 50 - ( B @ 50 - ( B @ 50 - ( F* F " 50 B @ 50 - ( @ 50 - ( )& B @ 50 B @ 50 , .. 50 8 . 5" @) ," + + B 5" 5" 5" A ' 8 &@GB. 1 5/ ? ? ? ? , 1 5/ / / $ 8 / 1 5/ / : 5H / : 65$,/I7 < 8+F 6<$&@ <$. *7 * 8 6 : :7 # ' 0 ? :# ' %' % % + % 1,% # ,% % 1,% # $ % " " F 50 ( !

(87)

</?/ < % $ 1 5/ 2-- !( ! -( + 8 + + + -</?/ 5 ( % $ 1 5/ 2-- !( ! - ( + 8 + + + -</?/ 1 5/ , 33 % % $ 2-- !( ! - + 8 -</?/ # , 33 % 6# ,%7 % $ 2-- !( ! - + 8 -' / </?/ % $ 2-- !( ! - + +( + + + + + + + 8 + -5 % $ % 1 5 00 2-- ! ! - + -% $ +1 +5 00 ! # %$ 2-- ! ! - - - - +

1%J%$ J J4<! I # ; K0@B@ )& L BF& .&* @ F *@ B. .

5 : 6% $ % ' ( 8 7 2-- ! ! - + -% $ +1 +5 00 ! , 8 9 :+%$ 2-- ! 8! - - - - J 00 - J - J J 8 -; % 8 ( = 5& 2-- ! ! - -% $ -% 8 ( ! 1,% %$ . 2-- ! @ ! - 8! - @ + + - + - + 8 +. % $ 9 2-- ! 8 ! - 8 + ! I K!- 8 + -% $ +A +.+% $ 9 : *! % $ , + % 2-- ! 8 ! - 8 + ! I K!- 8 + -% $ +, +% + +, @* @!

(88)
(89)

* . 2 &

(90)
(91)

% $ &' $ ( $ &' ) $ ) $ $ * #!+! , - . ) $ / . / $ $ 0. / / . ! . ) / $ 1, -2 3 . . 3 $ ( / 4 * * % 3* 3 5 % / 4 / / . . / . / .

(92)

! ( 1 2) . . ) . / $ / $ / . ) $ / . . . $ ) / ) . ) / # . $ / . ) ) . ,6-,9-,&- ' : 4 : : $ / : . ) ; < $ $ + $ $ . = ) $ $ . > $ / . ! " !"# $ ) $ / > $ ( $ $ $ ,!-

;

.

4 $ $ . # $ % &'( $ % / ? / @ A( B?*B ! 4A( B?*B !6 A( B?*B !9 B?*B !+ (7) 7) 87 B?*B 7 ( ) ) 8 B?*B @ 4 8 , -

(93)

+ # $ % &'( $ % (!) !) 8! B?*B ! (+) +) 8+ B?*B + ( ) ) 8 B?*B (6) 6) 86 B?*B 9 (9) 9) 89 B?*B !&

(&) &) 8& B?*B 6 07) C7) >7 B?*B & 0 ) C ) > B?*B " 0!) C!) >! B?*B D 0+) C+) >+ B?*B ! 0 ) C ) > B?*B + 06) C6) >6 B?*B 09) C9) >9 B?*B 7

0&) C&) >& B?*B

7) 47 B?*B 6 ) 4 B?*B " !) 4! B?*B D +) 4+ B?*B !7 ) 4 B?*B ! 6) 46 B?*B !! 9) 49 B?*B 9

&) 4& B?*B &

) ( ( * + , ! * + ' 0 : $ E : $ $ $ 4 : $ $ / $ $ / , -,!-, -

(94)

, $

, - ( ' ' @ 4 8 ' !777 ,!- ( ' A % ' ' 5 $ ( ' '??$$$ % ? $ ? A $ $ ' ! " ,+- ( ' / @ ' / @ D7 *!"&? D7 *!""( F+ +A * C A !"#0 # "6E>%2 ' DDD * ' E !7 + , - ( ' @ ' ; ( 0 $ 0 ) E ) 4 G ' !& 4 . !7 + * ' ! ( !7 6 ( ' '?? ? ? ? !CC"70" +" 9 !"9!6&0 47769& (6 A $ $ ' + !7 6 ,6- ( ' 0 ' E 0 >B + ' " 4 . !7 ,9- ( ' ( ' E ( @EC 777 E? ' 9 E . !77+ ,&- ( ' ' 5 E EA # 7! C E ( !7 A 7 ' !7

(95)
(96)
(97)

& <

* . 2 $'

. 2 * * * 8

(98)
(99)

# $ " ! % & '( ) " &* +,+) " # " - . ( / 0 " ! ! ( 12 % 23* 4 5 ! 6 7 " # " 8 " " # # 9 4 . : # & % ) # ; # # & 8 " # ) 4

(100)

+ " " ! ! 8 ! " " ! ! 8 ! 8 '<( % ! # @ @ ; # # <A @ ; # <A @ 2 &. 3823(4B281B ) @ & -) " & ) @ " &- ,7) " " " @ " ! @ @ " '( C @ &> ,) " @ ! @" " . & 8 8+) " " # A @ # &6 @ @7) # @ " / : 0 4 C 4 @ # 4 @ # 4 @ @ @ 6 & ) 4 @ @ @ 6 & ) 4 @ @ @ @ &, ! >) 6 & ) 4 @ @ @ @ &, ! >) 6 & ) #

(101)

, ! 4 #@ @ @ D & ) 4 #@ @ @ D & ) 4 #@ @ @ @ &, ! >) D & ) 4 #@ @ @ @ &, ! >) D & ) 4 A@ @ @ E & ) 4 A@ @ @ E & ) 4 A@ @ @ @ &, ! >) E & ) 4 A@ @ @ @ &, ! >) E & ) 4 @ @ &, ! >) @ @ @ @ &, ! >) & ) @ @ @ @ &, ! >) & ) @ @ ( @ @ 1 @ @ @ @ @ &2 : 7, ! > 2 : F ! >) & A " " ) !@ @ 4 ! " 8 " !@ @ @ 4 ! " 8 @ 4 3 " @ @ 4 ! @ @ 4 " # # 4 " 4 ! " ! " ! " <( # !

(102)

#

$

B ! " " " ! % % & % & ! % % # " " % " " %' ! 8 # ! 3 ! 8 " ! " # A % % " % % $ " # 8 % " " " " ! # ; # # % ! <( 3*(3 " # # " " # " ! : 6 7 % " #

(103)

=

#

$

# # # # & ) # " $% % ( $% % 68 4 '( 8 @ $% % ( $% % 68 4 '( 8 @ % % ( % % D8 4 '( 8 @ % % ( % % D8 4 '( 8 @ )% % ( )% % E8 4 '( 8 @ )% % ( )% % E8 4 '( 8 @ % " '(

(104)

7

#

$

% % ( % % " 8 '( @ %* 4 & () %* 4 & () %* 4 & () 4 & () $ ( 8 ! " !@ "% " # 8 ! "% $ % " # ! # @ @ ; # 8 @ @ "# "# " # & ) + %' % 8 ! " @ " 4 " ! " % " @ @

(105)

F

,

G ! ! " , @ " " # ! " " # " # " "# #

-

#

)

8 ! ; # 8 H H " 8 # #

-

"

"

( " " ! ! ! " ! 4 ! " " ! # ! " ! A # " ! # 4 ! " ! ! , " % # ! "

.

#

" " " # " # " " " " "# " B " ! ! ! " ! ! " " + : ! 8 " 8 " " " " # " ! '<( @ '<( 4 ! 8 II, @ @ @ " C # '<( @ J+>>- @ 2 &. 3823(B4281B ) G " C @ & -) < ! # C ! 8 # ! "

(106)

-! @ " &- ,7) < ! # " C # @ @ ; # G ; # # <AC @ # &6 @ @7) G # " C @ ; # G <AC @ @ # ( # # # @ " '( C @ &> ,) G C @ 1 ! C @ G &<A)C " @ " ! " C @" " . & 8 8+) " " # A !@ @ @# < ! " ! " " ! 6 DC !@ @ @A < ! " ! " " ! 6 EC @ @ @ @ 2 K K + > @ @ @ @ 2 K.K + > @ @ @ @ 2 K K + > @ @ @ @ 2 K(K + > " # #

(107)

I

.

#

$

" , /01 % " '<( "# G '<( %+>>-" ! " ! # ! " % % % 4 ! #% " H H ! ! " " '<( %+>>- " ! " ! '<( @ LM +>>- % % ( # " ! # # ; " # 8 ! ,8 "# " " ! # "%$% % 4 ! " ! " ! " ! 6 D ! ! D " 6 "%$% %) 4 ! " ! " ! " ! 6 E ! ! E " 6 % % % % $ . ! # " ! 1 # K K + % % $ % % . ! # " ! 1 # K.K + % % $ % % . ! # " ! 1 # K K + % % % % $ . ! # " ! 1 # K(K + "

(108)

> # # # " # 8 " " "# & ! ) : ' # % % %# % % & ; # % ! " #

constant skew_x_to_y : time := CameraLink_bittime*5;

+$ # * #

2 1

# # " # # 1 ? # # # "# # A ( # # # A # # ! ( # 8 ! "

3 0

#

" " 4 " ! " ! 8 ! 8 & +) " @ " 1 # # " . (

(109)

3

%

8 " @ 8 ! "

3

% # %#

! " " & ,) ! ! @ @ "# 9 # % # %#

(110)

+ , # * * " # " # # % # %# @ @ #@ #@ A@ A@ @ @ @ @ @ !@ @ @ " !@ @ % # %# + , = 7 F -% 4 5 ( 6 * > * * + @ @ !@ @ ' ! 1 ) @ !@ @ ! # ) !@ !@ + -% 4 ( 6 * > * * + @ @ !@ @ ' ! 1 ) @ !@ @ ! # ) !@ !@ + -% 4 6 * > * * + @ @ !@ @ ' ! 1 ) @ !@ @ ! # ) !@ !@ + -I N N N N N N

Referenties

GERELATEERDE DOCUMENTEN

0.04 simplifying indexing … Herbert Voß 2017/12/12 Inhaltsverzeichnis 1 Package options 1 2 Introduction 1 3 The macros 2 Index 4 1 Package options.. With the option makeidx the

Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of

De verdeling moet daarbij plaatsvinden op basis van sleutels die betrekking hebben op parameters die van invloed zijn op de potentiële omvang van de verkeersonveiligheid in

Publisher’s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please check the document version of this publication:.. • A submitted manuscript is

Interpolation MPQP requires the robust MCAS which can be determined using an autonomous model representation, although this gives a large increase in the dimension of the invariant

To increase performance and reduce cost, we pro- pose to replace the bit-level reconfigurable wires by hardwired circuit-switched interconnects for the inter-IP

To enable rapid heterogeneous platform generation we have designed an interface, which con- nects with Processor Local Bus (PLB) of PowerPC and provides a standard FSL interface..

Input data Master plan Capacity management Project portfolio Layout planning Projects for roadmap Projects for roadmap Projects for roadmap &amp; blueprint € per CEP