• No results found

New scan-based test strategy for a dependable many-core processor using a NoC as a Test Access Mechanism

N/A
N/A
Protected

Academic year: 2021

Share "New scan-based test strategy for a dependable many-core processor using a NoC as a Test Access Mechanism"

Copied!
1
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

New Scan-Based Test Strategy for a Dependable

Many-Core Processor Using a NoC as a Test Access

Mechanism

Xiao Zhang, Hans G. Kerkhoff

Testable Design and Test of Integrated Systems Group, Centre of Telecommunication and Information

Technology (CTIT), University of Twente, Enschede, the Netherlands

x.zhang@utwente.nl and h.g.kerkhoff@utwente.nl

Bart Vermeulen

Distributed Systems Architectures Group Research / Advanced Applications

NXP Semiconductors Eindhoven, the Netherlands

bart.vermeulen@nxp.com Recent advances in the semiconductor industry enable the

integration of many processing units on a single die and new processors are often included into large many-core SoCs. The dependability of such a many-core processor is essential for many mission-critical applications. Ideas such as the Know-Good-Tile concept [1] or majority-voting among tiles [2] have been proposed to explore the possibility to enhance the dependability of a many-core processor.

Given a many-core processor with many identical processing cores (tiles), the same test responses are expected from identical fault-free tiles when one applies the same test stimuli to each of these tiles. Assuming that only one tile becomes faulty at a time, one is able to identify this faulty tile by comparing its test responses with the responses of other fault-free tiles. Previous researches have shown the design of an infrastructural IP (IIP) [3]-[4] within the many-core processor to perform a periodic structural scan-based test on the idle ones of an array of Xentium tile processors (from Recore Systems [5]).

This work presents the unaddressed link between the IIP and the processing tiles under test: the Test Access Mechanism (TAM). Network-on-chip (NoC) has been proven to be an efficient and flexible on-chip communication method for SoCs. It is possible to reuse the NoC as a TAM to test the few idle tiles in the many-core processor while leaving other tiles carry on with their normal task. This can be considered as a hybrid form of on-line and off-line test.

Obviously, the overall NoC bandwidth will have to be shared between the normal applications running on the many-core processor and the testing task. It is preferred to give the normal applications precedence over the testing task when the NoC bandwidth is allocated. This means the IIP should be able to adapt to the very flexible NoC bandwidth availability or even to suspend the testing when too little or no bandwidth is available.

Performing scan-based test using the NoC may not be as

straight-forward as it appears. As a packet-switched NoC is used, the test stimuli and responses are transported over the NoC in the form of 32-bit “data flits”. The loading of primary input stimuli does not necessarily take the same number of clock cycles as the unloading of the primary output results. Global timing constrains are necessary to match the “loading test stimuli” and “unloading test results” operations. Meeting these constraints becomes even more difficult considering the fluctuating availability of NoC bandwidth. Therefore, it has been decided that the test stimuli application and test response collection processes will be decoupled to simplify the top-level control of the scan-based test.

Additionally, it is required to be able to pause and resume the scan-based test depending on the amount of NoC traffic. This can be achieved by adding a pause/resume function to the test stimuli generator and test response evaluator in the IIP. This is particularly useful if one needs to match the test responses from multiple tiles which do not always arrive at the IIP at the same pace.

A special wrapper IP has also been designed for the Xentium tiles. This wrapper can switch among “Functional”, “Manufacture Test” and “Dependability Test” modes to ensure the incoming data arriving at the correct data port of the processing tile. Silicon of the system is expected in 2010.

REFERENCES

[1] H.G. Kerkhoff, O. Kuiken, and X. Zhang, “Increasing SoC Dependability via Known Good Tile NoC Testing,” IEEE Intern. Conf.

on Dependable Systems and Networks (DSN08), Anchorage USA, 2008.

[2] X. Zhang and H.G. Kerkhoff, “Design of a Highly Dependable Beamforming Chip,” in Proc. Euromicro on Digital System Design

(DSD09), pp. 729-735, Aug. 2009.

[3] O.J. Kuiken, X. Zhang and H.G. Kerkhoff, “Built-In Self-Diagnostics for a NoC-Based Reconfigurable IC for Dependable Beamforming Applications,” in Proc. IEEE Intern. Symp. on Defect and Fault

Tolerance in VLSI Systems (DFT08), Cambridge USA, pp. 45-53, Oct.

2008.

[4] H.G. Kerkhoff and X. Zhang, “Design of an Infrastructural IP Dependability Manager for a Dependable Reconfigurable Many-Core Processor,” in Proc. DELTA 2010, HCM City Vietnam, Jan. 2010. [5] Recore Systems, www.recoresystems.com

This research is conducted within the FP7 Cutting edge Reconfigurable ICs for Stream Processing (CRISP) project (ICT-215881) supported by the European Commission.

Referenties

GERELATEERDE DOCUMENTEN

Er zijn geen specifieke gegevens beschikbaar voor het te onderzoeken terrein maar het bevindt zich in een archeologische aandachtszone.. Op de luchtfoto’s van de UGent zijn in

vaak wordt de vrees geuit dat bij aanwezigheid van een scherm het gebruik van hoofdlicht zal toenemen, waarbij de meeliggers via hun spiegel, en bij schermen

An extensive simulation study re- veals that for heavily loaded systems a low penetration level suffices and that the performance (in terms of the average sojourn time) of a

AC loss measurements in non-twisted stacked tape samples with the magnetic field oriented perpendicular to the wide side of the REBCO tapes show no evidence of AC coupling loss at

Changes in life expectancy (LE), healthy life expectancy (HALE) and RATE (GAP/LE) between 1995 and 2017 in different countries and regions and gender differences.. Changes in

Our approach generates a visu- alisation with three plots: (i) a 2D plot of the test data with the input images as the data points, (ii) a simple 2D plot of the training data, and

Uitgangspunt voor de berekening van het voor het jaar 2014 vast te stellen bedrag voor besteedbare middelen beheerskosten AWBZ vormt het bedrag dat voor het jaar 2013 is

After restricting the DNA sequence the fragments that grow exponentially have to be selected. To do this a virtual Polymerase Chain Reaction or VPCR has to be created. The VPCR