• No results found

Merging concurrent checking and off-line BIST

N/A
N/A
Protected

Academic year: 2021

Share "Merging concurrent checking and off-line BIST"

Copied!
185
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

Merging Concurrent Checking

and Off-line BIST

l \ < ' ( ' i: I 1 T !: D

f A ' J J I ' V i . i , ■ , 1 , I t ! A ! > h i ! I f

b y

Xiaoling Sun

B . E n g . , S h e n y a n g I n d u ' I rial Uni ver sit y, 1982 M.Sc. , C o n c o r d i a Un i ve r s i t y , 1988 A D i s s e r t a t i o n S u b m i t t e d in P a r t i a l F u lf i ll m e n t of t h e R e q u i r e m e n t s for t h e D e g r e e of D O C T O R O F P H I L O S O P H Y in t he D e p a r t m e n t o f C o m p u t e r S ci ence W e a c c e p t t hi s d i s s e r t a t i o n as c o n f o r m i n g 1 ’A Tf\ i j ( ^ W e a c c e p t t hi s d i s s e r t a t i o n as coi / . <L t o t h e r e q u i r e d s t a n d a r d

Dr. M. S<*pf«ii S u p e r v i s o r ( / d e p a r t m e n t o f C o m p u t e r Sci ence )

Dr. I). M. Miller, D e p a r t m e n t a l M e m b e r ( D e p a r t m e n t of C o m p u t e r S ci ence )

D r J L - N . H o r s p o o l , d e p a r t m e n t a l M e m b e r ( D e p a r t m e n t o f C o m p u t e r Sci ence )

Dt/. F,(' EWGuiba l v. O u t s i d e M e m b e r ( D e p a r t n i e n t of Elec,. & C o m p . E n g . )

D r . i l . K w o k , O u t s i d e M e m b e r ( D e p a r t m e n t of Elec. & C o m p . E n g . )

Dr. V. Z o r i a n e r n a l E x a m i n e r ( A T & T Bell L a b o r a t o r i e s , P r i n c e t o n , U S A )

© X I A O L I N G S U N , 1992 U n i v e r s i t y o f V i c t o r i a

A l l n g h l s r e s e r v e d 'Pais d i s s e r t a t i o n m a y n o t be r eproduc ed i n wh o l e o r i n part , by p h o t o c o p y o r o t h e r m e a n s , w i t h o u t t he p e r m i s s i o n o f t he a u t h o r .

(2)

S u p e r v i s o r : Dr. M i o . e l a S e r r a

A b str a c t

T h i s d i s s e r t a t i o n e n c o m p a s s e s p r i m a r i l y desi gn for t e s t a b i l i t y ( D F T ) p r o b l e m s of c o n c u r r e n t c h e c k i n g a n d s t r u c t u r a l off-line B ui l t - I n Self-Tost. W e p r e s e n t a n e w D F T m e t h o d , whi ch e m p l o y s cyclic c o d e c h e c k i n g as a m e d i u m t o c o m b i n e t h e c o n c u r r e n t c h e c k i n g a n d s i g n a t u r e a n a l y s i s in a b ui lt- in fashion. It uses b i t -s l ic e d lineai f e e d ba c k s hi ft r e gist er s ( L F S H s ) o r l inear c e l l u l a r a u t o m a t a r e g i s t e r s ( L ( ' A R s ) as t h e i m p l e m e n t a t i o n m e c h a n i s m . A ci r c ui t u n d e r t e s t d e s i g n e d in t h i s m e t h o d s u p p o r t s both, o n - l i n e a nd off-line t e s t a b i l i t y w i t h s h a r e d h a r d w a r e r e s o u rc e s . It h a s c o m p a r a b l e o n - l i n e e r r o r - d e t e c t i n g a b i l i t y to t h e c o n v e n t i o n a l e r r o r - d e t e c t i n g c o d e s a n d w i t h o u t a f f e a m g t he h igh fault c o v e r ag e of off-line s i g n a t u r e a n a l y s i s . T h i s t e s t i n g s c h e m e c o m p l i e s w i t h t h e I F F F b o u n d a r y - s e a n s t a n d a r d a n d is a p p l i c a b l e t o g en e r a ! c ircui tr y. Fva l ua t i on -i of t h e p r o p o s e d s c h e m e a r e c a r r i e d o u t w i t h r e s p e c t t o t h e a r e a o v e r h e a d , p e r f o r m a n c e a n d t e s t i n g t i m e , d es i g n c o m p l e x i t y , pin c o u n t , a n d f a ul t c o v e r a g e T h e c o n c a t e n a t ion p r o p e r t i e s of L C A R s a r e i n t r o d u c e d a n d r e c e n t d e v e l o p m e n t s in r e l a t e d issue's a r e r e vi ewe d . Fi na l ly, a n ew a r e a e s t i m a t i o n m e t h o d for e i t c u i t d es i g n is p r e s e n t e d t o ease silicon c o s t m e a s u r e m e n t

(3)

E x a m i n e r s :

D r . M. S e r r a , S u p e r v i s o r ( - D e p a r t m e n t of C o m p u t e r Science)

D r . D M. Miller, D e p a r t m e n t a l M e m b e r ( D e p a r t m e n t of C o m p u t e r Scion u)

___________________________________ V V < ( _

D r . R. N. I l o r s p o o l , D e p a r t m e n t a l M e m b e r ( D e p a r t m e n t of C o m p u t e r S c i e n c e )

D r . F. Ejl/tjluibaly, O u t s i d e ^ M e m b e r ( D e p a r t m e n t , o f Elec. C o m p . Entf.)

D r . F . I < w o k VN0 u t s i ^ ^ 4 e m b e r 7 r ' ~ ' . r t m e n t of Elec. & C o m p . E n ^ . )

--- ---- --- r-7 --- --- ——---D r . Y. Z o r i a n , E x t e r n a l E x a m i n e r ( A T & T Bell L a b o r a t o r i e s , P r i n c e t o n , US A)

(4)

iv

C o n te n ts

Abstract; n C o n t e n t s iv List o f F i g u r e s ix List o f T a b l e s xii A c k n o w l e d g e m e n t s x i v 1 I n t r o d u c t i o n I 2 B a c k g r o u n d 6 2.1 F a u l t s a n d Fault. M o d e l s ... 6 2.2 P s e u d o r a n d o m T e s t P a t t e r n ( l e n e r a t i o n ... 8 2.2 D a t a C o m p a c t i o n ... 9 2.4 L i n e a r Peed b a c k Shif t R e g i s t e r s ( L F S I t s ) ... 11 2.4.1 B i n a r y S e q u e n c e a n d P o l y n o m i a l T r a n s f o r m a t i o n s ... 11 2. 4. 2 L F S R I m p l e m e n t a t i o n of P o l y n o m i a l s ... 11

(5)

( 'on t . n I s v 2..r) L i n e a r C e l l u l a r A u t o m a t a R eg i s t e r s ( L C A R s ) ... 12 2.(1 S u m m a r y ... 15 3 C o n c u r r e n t C h e c k i n g and Off-li ne I '1ST 17 3.1 I n t r o d u c t i o n ... ...iV 3. 2 C o n c u r r e n t C h e c k i n g ... IS 3. 3 S i g n a t u r e A n a l y s i s ...21) 3.1 S c a n - b a s e d T e s t ...21 3. 5 P r e v i o u s W o r k in M e r g i n g ... 2(1 3.5.1 M e r g i n g H I S T a n d B o u n d a r y S c a n ...2(1 3. 5. 2 M e r g i n g C o n c u r r e n t C h e c k i n g a n d H I S T ... 27 3.(1 P r o b l e m S t a t e m e n t ...32 4 C o n c a t e n a t i o n and P a r t i t i o n i n g o f L F S R s and L C A I l s 35 4.1 B a c k g r o u n d ... 3(1 4.1.1 L i n e a r F i n i t e S t a t e M a c h i n e s a n d I s o m o r p h i s m ... 3(1 4. 1. 2 Di ff erent R e p r e s e n t a t i o n s ... 3!) 4. 2 P o l y n o m i a l C o n c a t e n a t i o n a n d P a r t i t i o n i n g ... 10 4.2.1 D e f i n i t i o n s ... 42 4. 2. 2 C o n c a t e n a t i o n of P o l y n o m i a l s a n d L F S R s . 44 4 . 2 . 3 P a r t i t i o n i n g of P o l y n o m i a l s a n d L F S I t s ...45 4. 3 L C A R C o n c a t e n a t i o n a n d P a r t i t i o n i n g ...4(1 4.3.1 D e f i n i t i o n s ... 4(1

(6)

( 'unlci,I* V1 1.3 2 L C A K C o n c a t e n a t i o n ... 19 4. 5. 6 L C A R P a r t i t i o n i n g ... 52 4.5.4 S u m m a r y of L o o k - u p ' I a i d e s ...53 1.1 C o m p a r i s o n of L F S R s a n d L C A R s for C o n c a t e n a l i o n a n d P a r t i t i o n i n g 54 I. LI C h o i c e of P r i m i t i v e C o n c a t e n a t i o n a n d P a r t i t i o n i n g ...54 I. i.n A .2 Aliasing a n d P s e u d o r a n d o m n e s s ... 56 .4 S t r u c t u r e of C o n c a t e n a t i o n a n d P a r t i t i o n i n g ...56 .4 ( lost of Bit-sl. ed i m p l e m e n t a t i o n ...59 p l i c a t i o n s of LIASII a n d I C A R C o n c a t e n a t i o n a n d P a r t i t i o n i n g . . 60 4.6 F u t u r e W o r k on L F S P a n d L C A R C o n c a t e n a t i o n a n d P a r t i t i o n i n g . . 65 5 T h e N e w T e s t i n g S c h e m e 65 5.1 T h e New F r r o r - D e t e c t i n g C o d e ... 67 5. 2 T h e P r i m a r y S c h e m e ...70 5.2.1 T h e N O R M A L M o d e ... 70 5. 2. 2 T h e S C A N M o d e ... 72 5. 2. 6 T h e H I S T M o d e ... 73 5.2.4 S h a r i n g of H a r d w a r e R e s o u r c e s ... 74 5. 2. 5 S u m m a r y ... 74 5.6 T h e Modified S t r u c t u r e s . . . . 75 5.6.1 O u t p u t P a r t i t i o n i n g ...75 5. 5. 2 O u t p u t M u l t i p l e x i n g ...76 5. 6 3 O u t p u t P a r t i 1 i on in g & M u l t i p l e x i n g ... 77

(7)

C o n t r i l l s vii

5.1 /\ Desi gn K x a i n p l c * ... 7S

5.5 T e s t i n g of S e q u e n t i a l C i r c u i t r v ... SI 5.5.1 A l t e r n a t i v e s t o Fall S ca n D e s i g n ... S!)

5.5.2 Conflict wit h I lie B o u n d a r y S c a n S t a n d a r d ... DD

5.0 S u n ’u i a r y ... ...!H

6 C o s t C h a r a c t e r i s t i c s 93

6.1 A r e a O v e r h e a d E s t i m a t i o n M e t h o d s ...93

6.1.1 T r a n s i s t o r P a i r Layout Estiiua.1 ion ...95

6. 1.2 An A r e a E s t i m a t i o n E x a m p l e ...100 6.9 C o s t s on B e n c h m a r k s ... 105 6.2.1 A r e a O v e r h e a d ... 106 6 2.2 P e r f o r m a n c e a n d T e s t i n g T i m e ... ... 112 6 2.3 De si gn C o m p l e x i t y ... M l 6. 2.4 P i n C o u n t ...I l l 6.3 S u m m a r y ... I l l 7 E r r o r C h a r a c t e r i s t i c s 120 7.1 P r e l i m i n a r i e s ... 120 C l . l D e f i n i t i o n s ...120 7. 1.2 E r r o r - d e t e c t i n g C o d es : Be r g e r , R e s i d u e a n d L F S H / L C A B . . 121 7.2 E r r o r C o v e r a g e G e n e r a l E s t i m a t i o n ... 123 7.3 F a u l t C o v e r a g e S i m u l a t i o n Resin Is ... 125

(8)

( ' ont . ni i t s v iii

7..'5.1 S i m u l a t i o n I ' j i v i r o r u r i ' M i l ...125

7.U.2 F au l t C o v e r a g e for P L A s ... 127 7..J..'5 Fault, C o v e r a g e for M u l t i p l e Level C a t e I m p l e m e n t a t i o n s . . . 131

7.1 S u m m a - ' ,y ... 132 8 C o n c l u s i o n 135 A p p e n d i c e s 14C 1 T a b l e s o f LGA R C o n c a t e n a t i o n 148 1.1 S C f - e o n c a t ( ’n a t i o n o f P r i m i t i v e L C A R s ... 148 1.2 N o n - s H f P i rni live C o n c a t e n a t i o n of L C A R s ...167 1.3 N o n - S e R P r i m i t i v e a n d N o n - p r i m i t i v e C o n c a t e n a t i o n of L C A R s . . . 168 2 C C M J N I M a n u a l P a g e 170

(9)

is

.List o f F igu res

1.1 T a x o n o m y of t e s t i n g ... 2 s.[ D a t a c o m p a c t i o n technbpie.s ... !) 2.2 A n e x a m p l e of a t y p e - 1 L F S R ... 12 2.3 A n e x a m p l e of a t y p o - 2 L F S R ... 12 2.4 A L C A H ... 15 3.1 A c o n c u r r e n t c h e c k i n g o r g a n i z a t i o n ... 1!) 3.2 A s i g n a t u r e a n a l y s i s o r g a n i z a t i o n ...20 3.3 S c a n - b a s e d t e s t ... 22 3.4 A vi ew of b o u n d a r y s c a n ... 25 3.5 T h e C E B S a r c h i t e c t u r e ... 27 3.6 B I S T u s i n g c onc ur r e nt, c h e c k i n g to c o m p a r e c i r c u i t r e s p o n s e ...2!) 3.7 T h e c o n c u r r e n t c o m p a r a t i v e t e s t i n g o r g a n i z a t i o n ...30 3.8 S el f - e x e r c i si ng c h e ck e r ... 31 3.9 Unified B I S T s c h e m e ... 32 4.1 T r a n s i t i o n rrn. -ices of a L F S R a n d a LCAH ...39

(10)

Li si o f F ig u res 4.2 T r a n s f o r m a t i o n s of LKSR a n d L C A R 4.4 T h e t a x o n o m y of IXJAR c o n c a t e n a l i o n •1.1 I / C A R c o n c a t e n a t i o n ... 4.5 LKSR c o u c a t c n a t i o i ... 4.(> D y n a m i c r e co nf i g ur a ti on of a L F S 1’ . 4.7 D y n a m i c r e c o n f i g u r a t i o n of a L C A R 4.8 T h e t es t p a t t e r n g e n e r a t i o n .scheme . •r).I A MIST o r g a n i z a t i o n ... 5.2 T h e c o n c u r r e n t c h e c k i n g o r g a n i z a t i o n 5.4 'I'lie S ( J A N a n d MIST o r g a n i z a t i o n s . 5.4 'I’lie out p u t p a r t i t i o n i n g o r g a n i z a t i o n .r)..r) 'Plie out p ut m u l t i p l e x i n g o r g a n i z a t i o n 5.(1 A p e x 4 c o n c u u ' e n t c h e c k i n g o r g a n i z a t i o n 5.7 A p e x 4 MI ST o r g a n i z a t i o n ... 5.8 'Plie b l oc k d i a g r a m of t h e M I C A . . . . 5.!) 'Plie block d i a g r a m of P R P G ... 5.10 Logic d e s i g n of bi t- s li c ed c e l l s ...

5.1 I Logic d es ig n of bi t- s lic ed cells ( c o n t i n u e d ) 5.12 Logic d es i g n of b i t- s lic ed cells ( c o n t i n u e d ) 5. LI Masic m o d u l e s of t h e T S C c h e c k e r . . . .

5.14 T h e H I S T o r g a n i z a t i o n of a s e q u e n t i a l ci rc ui t

(11)

List o f F i g u r es \ i

5,10 T h e ■ o u c u r r e n t chec kiug o r g a n i / a ' i o u of a sf',|uoiit ml ci o uit . S')

(LI A g en er i c I5LA layout s c h e m a t i c ... 07 o 2 C i r c u i t d i a g r a m s o f Sasic hit sure.I c e l l s ...102

(12)

L ist o f T ables

2.1 An e x a m p l e ' o f p o l y n o m i a l divi sion ... 14 4.1 S e l f - c o n c a t e n a t i o n of d eg r e e 3 t o 8 p o l y n o m i a l s ... 45 4.2 T h e p a r t i t i o n i n g b e h a v i o u r of p r i m i t i v e L F S R s ...47 4.3 T h e p a r t i t i o n i n g b e h a v i o u r of p r i m i t i v e L C A R s ...52 4.4 M i n i m u m c o s t L F S R s a n d L C A R s ... 59 5.1 An e x a m p l e f u n c t i o n e n c o d e d wi th L C A R c o d e s ...68 5.2 R s p r es s o e x p r e s s i o n of dk 2 7 ... 87 6.1 C o s t o f t h e ba s ic e l e m e n t s ... 101 6.2 Cost of t h e b a s i c c e l l s ...103 6.3 Cost, o f t h e m o d u l e s ... 104 6.4 C o m p a r i s o n ot di ff e r ent s c h e m e s ... 105 6.5 C o m p a r i s o n of a r e a o v e r h e a d u si ng di fferent L C A R c o d e s of t h e s a m e l e n g t h ... 116 6.6 A r e a o v e r h e a d in t e r m s of n u m b e r of p r o d u c t t e r m s ...117 6.7 A r e a o v e r h e a d in Y R L e s t i m a t i o n ... 118

(13)

L i s t o f T a b l e s

6.8 A i va o v e r h e a d for s t a n d a r d g a t e r e al i za t i on

6.9 A r e a cost f o r m u l a s for di fferent t e s t i n g s c h e m e s 6. 10 I m p a c t on c o n c u r r e n t c h e c k i n g ...

7.1 T o t a l a l i as in g of t h e three' c o d e s ... 7.2 F a u l t c o v e r a g e of L CA H c o d e s [fa.ul.tsi in ulat or)

7.3 F a u l t c o ve r ag e of L C A H c o d es [plasini)

7.4 F a u l t c o v e r ag e of t h r e e c o d e s [faultsi.inul.alor)

7.5 F a u l t c o v e r ag e of t h r e e c o d e s [plasini) . . . .

(14)

x i v

A c k n o w le d g e m e n ts

I woul d like to e x p r e s s m y g r a t i t u d e t o m y s u p e r v i s o r , Dr. M i e a e l a S e r r a , for h e r g u i d a n c e , s u p e r v i s i o n a n d hel p t h r o u g h o u t m y P h . D p r o g r a m . I w o u l d like t o a c k n o w l e d g e m y e x t e r n a l e x a m i n e r , Dr. Y a v e n t Z o r i a n , lor his c r i t i c i s m a n d s u g g e s t i o n s on t h e final ver sion of this d i s s e r t a t i o n .

I w o ul d like t o t h a n k Drs. J o n. C. M u z i o a n d D. M i c h a e l Mi lle r for t h e i r e n e o u r a g e m e n t , a d v i s e a n d he l p d u r i n g t h e y e a r s cT m y d o c t o r a l s t u d i e s . My t h a n k s go t o all t h e p r e s e n t a n d p a s t m e m b e r s o f t h e V L S I d e s i g n &r. t e s t g r o u p in t h e last f o u r y e a rs for t h e i r a s s i s t a n c e a n d f r i e n ds h i p . M y s p e c i a l t h a n k s g o t o Mr. Mi ch ae l W h i t n e y for p r o of r e a d i n g of m y d i s s e r t a t i o n , t o Mr. R. B y r n e , M r . P. W a l s h a n d M r. K. C a t t l e for t h e i r hel pf ul d i s cu s s i o ns in t h e e a r l y s t a g e of m y P h . D r e s e a r c h , t o Mr . D. Wessels, Mr. S. Z h a n g a n d Mr. R. B y r n e for t h e i r w o r k on t h e f ault s i m u l a t o r s , a n d to Mr . J. W a lk o w i cz , a n d M r . W. K a s t e l i c for t h e i r t e c h n i c a l e x p e r t i s e , c o o p e r a t i o n a n d help. I w o ul d like to a c k n o w l e d g e S h e n y a n y I n s t i t u t e of C o m p u t i n g T e c h n o l o g y , A c a d e m i a S i n i c a , for p r o v i d i n g m e w i t h s c h o l a r s h i p s in t h e e a r l y y e a r s of m y g r a d u a t e s t u d y , t o U n i v e r s i t y of V i c t o r i a , for offering m e t h e U n i v e r s i t y o f V i c t o r i a F e l l o ws h i p s, a n d t o t h e Di vi si on of C o m p u t e r E n g i n e e r i n g , t h e D e p a r t m e n t of E l e c t r i c a l E n g i n e e r i n g a n d t h e f a c u l t y o f E n g i n e e r i n g a t U n i v e r s i t y of A l b e r t a , for t h e i r s u p p o r t a t t h e fini shi ng s t a g e of m y d i s s e r t a t i o n .

F ina l ly, 1 wo ul d like to t h a n k my p a r e n t s a n d m y s i s t e r for t h e i r l ove, u n d e r ­ s t a n d i n g , s u p p o r t , a n d sacrifices t h r o u g h all t h e s e yea r s.

(15)

C h a p t e r I. I n t r o d u c t i o n I

C h a p te r 1

In tr o d u c tio n

T h e r e l i a b il i t y of d i g i t a l s y s t e m s d e p e n d s on t o ' t i n g t h a t is, t h e d e t e r m i n a t i o n of w h e t h e r a c i r c u i t is m a n u f a c t u r e d p r o p e r l y a n d b e h a v e s c o r r e c tl y . Digital t e s t i n g e n c o m p a s s e s logic, a n d p a r a m e t r i c t e s t s . Logic t es ti ng c o n c e r n s t h e logical c o r r e c t n e s s of a c i rc u i t u n d e r test (CHIT), w h i le p a r a m e t r i c t e s t i n g e x a m i n e s t h e ci rc ui t p a r a m e t e r s s u c h as c u r r e n t , v ol ta ge , t i m e de l ay a n d p o w e r c o n s u m p t i o n . T h i s dis s e r t a t i o n is d e v o t e d t o logic t e s t i n g i ssues T h e t e r m l i s t i n g is us ed t o refer t o logic t e s t i n g .

As c i r c u i t d e n s i t y r a p i d l y i n c r e as es , t e s t i n g h a s b e c o m e m o r e difficult b e c a u s e of i n c r e a s e d s y s t e m c o m p l e x i t y a n d d e c r e a s e d cir< nit accessi bi lit y. In a, t e s t i n g pr oc es s, o n l y a “p a s s / f a i l ” s ig n al is r e q u ir e d t o i n d i c a t e if a c i r c u i t is g o o d o r n o t , as o p p o s e d t o d i a g n o s t i c m e t h o d s , w h e r e t h e l o c a t i o n of defect? :s r e q u ir e d .

It is i m p o r t a n t t o p r e s e n t new r e s e a r c h in its a p p r o p r i a t e c o n t e x t . F i g u r e 1.1 i l l u s t r a t e s a t a x o n o m y of logic t e s t i n g t e c h n i q u e s . As s h o w n , logic t e s t i n g ca n be classi fied i i to t wo m a i n ca t eg or i es : e x t e r n a l t est a n d B u i l t- I n S e l f - ' l e s t ( B I S T ) [40, p a g e 131]. E x t e r n a l test, uses a t e s t e r , e x t e r n a l t o t h e s y s t e m , to s t i m u l a t e a c ir c ui t. S u c h g e n e r a l p u r p o s e t e s t e r s a r e ver y e x p e n s i v e a n d no t n e c e s s a r i l y a v a i l a b l e to all d e s i g n e r s . M o r e o v e r , a lar ge v o l u m e o f d a t a n e e ds t o b e h a n d l e d by t h e t es t er s ,

(16)

( h a ' i l c r i. h i t r i x l u e t i o n

r e s u l t i n g in l ung test in^i, t i me s an d high test ing cost.

iiu ill In S e lf T esl

O n I mi' IiinT

In fo rm a tio n -re d u m iiinl

R esident so flw n rc O ff-lin e HIST lix le rn a l lesl H ard w are-red u n d an t F i g u r e 1.1: T a x o n o m y of t e s t i n g A v i a b l e a l t e r n a t i v e t o e x t e r n a l t e s t is B I S T , w h i c h in g e n e r a l r efers t o t h e i n cl us i on of o n - c h i p c i r c u i t r y to f a ci l it a t e t es t i n g . B I S T t e c h n i q u e s o f t e n i m p l y u s i n g s o m e design f o r t est ability ( D F T ) m e t h o d s t o r e d u c e t e s t c o st a n d i n c r e a s e c i r c u i t t e s t a b i l i t y . D F T t e c h n i q u e s i n cl u d e a d hoc a p p r o a c h e s such, as p a r t i t i o n i n g [2, p a g e s .'111-1115] a n d i n s e r t i n g t e s t p o i n t s [2, page 347], a n d s t r u c t u r a l a p p r o a c h e s s u c h as s ca n t e c h n i q u e s [2, page s 358-407]. A B I S T s t r u c t u r e c o n s i s t s of t w o key c o m p o n e n t s : a m e c h a n i s m t o p r o v i d e i n p u t s t i m u l i t o t h e C U T , a n d a m e c h a n i s m t o e v a l u a t e t h e c i r c u i t r e s po nse s. B I S T t e c h n i q u e s ca n b e f u r t h e r d i v i d e d i n t o o n - l i n e a n d off-line m e t h o d s . On- l ine B I S T allows t h e ci rc ui t t o be t e s t e d as it is p e r f o r m i n g its i n t e n d e d f u n c t i o n s , while off-line B I S T t y p i ca l l y r e q u i r e s t h e C U T t o s u s p e n d n o r m a l o p e r a t i o n a n d e n t e r a s e p a r a t e t es t m o d e .

(17)

C h a p t e r I. I n t r o d u c t i o n

As s h o w n in F i g u r e 1.1, on- l ine B I ST t e c h n i q u e s c a n h e f u r t h e r c h a rn e l or i ze d i n t o h a r d w a r e - r e d u n d a n t , t i m e - r e d u n d a n t a n d i n f o r m a l i o n - n d u n d a n l c a te g o r i e s . H a r d w a r e - r e d u n d a n t t e c h n i q u e s vary fr om sel f- chec king c i r c u i t s at t h e g a l e level t h r o u g h d u p l i c a t i o n s at, t h e m o d u l e level, up t o r e p l i c a t e d c o m p u t e r s at t h e s y s t e m level f46]. T i m e - r e d u n d a n e y uses a d d i t i o n a l t i m e t o r e p e a t c o m p u t a t i o n s in o r d e r to a c h i e v e f a u l t d e t e c t i o n . I n f o r m a t i o n - r e d u n d a n t a p p r o a c h e s e m p l o y e r r o r d e t e c t i n g c o d e s s uc h a s B e r g e r , r e s i d u e a n d cyclic r e d u n d a n c y c h e c ks as p a r t of t h e f u nc t i o n s p e c i f i c a t i o n s . In t i n s d i s s e r t a t i o n , t h e t e r m c o n c u r r e n t e l n e k i n y is us ed t o refer t o t h e i n f o r m a t i o n - r e d u n d a n t m e t h o d s . O n e focus of t h e new resenr<h falls in t his c a t e g o r y .

Off-li ne B I S T t e c h n i q u e s h a v e b ee n widely a c c e p t e d by desi gn e n g i n e e r s in p r a c t i c a l a p p l i c a t i o n s . T h e s e t e c h n i q u e s c a n be g r o u p e d i nt o re s ide nt s of t ware, J i n n wart a n d data c o m p a c t i o n m e t h o d s . V a r i o u s d a t a c o m p a c t i o n t e c h n i q u e s a r e a v a i l a b l e [2, page s 421 452]. T h e b e s t k n o w n is s i g n a t u r e a n al y s i s [2, p a g e s 422 448]. If is b a s e d on t h e c o n c e p t of cyclic r e d u n d a n c y c h e c k i n g ( ( 4 1 0 ) , a n d r e al i ze d in h a r d w a r e u s i ng l i n e ar f e e d b a c k s hi f t r e gi st er s ( L F S R s ) . A c o m p r e h e n s i v e e x p o s i t i o n of t h e s e b a c k g r o u n d s u b j e c t s c a n be f o un d in [2, 4, 24, l(ij. 'I'lie n ew r e s e a r c h in this d i s s e r t a t i o n e n c o m p a s s e s data, c o m p a c t i o n t e c h n i q u e s u s i ng s i g n a t u r e a n a l y s i s a n d , i n f act, p r e s e n t s m e t h o d s for m e r g i n g s uc h off-line B I S T t e c h n i q u e s w i t h c o n c u r r e n t c h e c ki ng .

S c a n - b a s e d t e s t is a D F T t e c h n i q u e t h a t p r o v i de s t h e m e a n s to a c c e s s interna,I p a r t e of a c i r c u i t . It c o n v e r t s a s e q u e n t i a l c i r c u i t t es t p r o b l e m i n t o a c o m b i n a t i o n a l o n e by c o n n e c t i n g s t o r a g e e l e m e n t s i n t o a c ha i n o f shift r e g i s t er s , s uc h t h a t t e s t dal., c a n b e s h i f t e d in for t e s t i n g a n d c i r c u i t r e s p o ns e s can b e s h i f t ed o u t for e x a m i n a t i o n . T h e r e a r e m a n y v a r i a t i o n s of s ca n desi gn a n d t h e d e t a i l s can b e found in [2, 258 395], In t h i s d i s s e r t a t i o n , t h e t e r m off-line B f S T refers o n l y t o t e s t i n g m e t h o d s t h a t c o m b i n e s i g n a t u r e a n a l y s i s a n d s c a n - b a s e d D F T t e c h n i q u e s . T h e I F F F S t a n d a r d

(18)

C h a p t e r I. I n t r o d u c t i o n 4

i 149.1 S t a n d a r d r|V*st. Access Port, a n d B o u n d a r y S can A r c h i t e c t u r e [1], <dso k n o w n a s t h e b o u n d a r y -can s t a n d a r d , is base d on scan t e c h n i q u e s . It d e c l a r e s t h e a r r i v a l of t h e e r a of desi gn for t e s t a b i l i t y , m a i n l y e n h a n c i n g off-line t e s t a b i l i t y . T h e n e w t e s t i n g s c h e m e p r e s e n t e d in t hi s d i s s e r t a t i o n c a n be a d a p t e d t o s u p p o r t t h i s s t a n d a r d , wi th e n h a n c e d o n -l i ne t e s t ab il i ty .

'I’lie m a i n goal of t h i s r es ear c h is t o c o m b i n e c o n c u r r e n t c h e c k i n g a n d off-line H I S T t e c h n i q u e s , so t h a t t h e h a r d w a r e re so u rc e s i n v o lv ed in t h e t wo t y p e s of t e s t ­ i ng can b e s h a r e d , a n d t h e over all silicon cost c a n b e m i n i m i z e d . T o a c h i e v e t hi s m e r g i n g , it is es se nt i al t o find a m e a n s t o b r i d g e t h e t w o d i ff e r e n t t e s t t e c h n i q u e s . T h e r e a r e t wo basic r e l a t e d issues: an a p p r o p r i a t e e r r o r - d e t e c t i n g c o d e for t h e c o n ­ c u r r e n t c h e c k i n g a n d a s u i t a b l e i m p l e m e n t a t i o n m e c h a n i s m for t h e off-line B I S T . T h e n ew di sign f o r lest m e t h o d p r o p o s e d in t h i s r e s e a r c h r e s u l t s in a n e w t e s t i n g a r c h i t e c t n r e , w h e r e t h e h a r d w a r e re so ur c e s a r e s h a r e d in b o t h o n - l i n e a n d off-line t e s t s . It, s u p p o r t s t h e I E E E b o u n d a r y - s c a n s t a n d a r d a n d is a p p l i c a b l e t o g e n e r a l c i r c u i t r y . In t h e c o u r s e o f p r e s e n t i n g t h e new m e r g i n g t e c h n i q u e s , we a l so d i s cu ss s o m e o t h e r n ew r e s e a r c h i deas for t h e c o n c a t e n a t i o n p r o p e r t i e s o f l i n e a r c e l l u l a r a u ­ t oma t , a , for t h e e s t i m a t i o n of a r e a o v e r h e a d a n d for t h e e v a l u a t i o n of e r r o r c o v e r ag e for s o m e c o n c u r r e n t c h e c k i n g t e c h n i q u e s .

S o m e iniiia,1 a p p r o a c h e s t o t hi s p r o b l e m u t i l i z i n g ef fec ti vel y t h e b u i l t - i n h a r d w a r e r e s o u r c e s a n d p e r f o r m o n- l i n e t e s t i n g a r e r e p o r t e d in [30, 48, 51]. T e s t i n g s c h e m e s a n d D F T m e t h o d s t h a t m e r g e s i g n a t u r e a n a ly s i s a n d s c a n - b a s e d t e s t , o r b o u n d a r y s c a n t e c h n i q u e s , c a n b e f o u nd in [2, p a g e s 496-513] a n d [17]. 'I'lie r e m a i n d e r of t h i s d i s s e r t a t i o n is o r g a n i z e d as follows. T h e g e n e r a l b a c k ­ g r o u n d is p r o v i d e d in C h a p t e r 2. A d d i t i o n a l d e t a i l s a r e i n t r o d u c e d as n e c e s s a r y in l a t e r c h a p t e r s . C h a p t e r 3 r e vi e ws t h e p r e v io u s w o r k in m e r g i n g c o n c u r r e n t c h e c k i n g a n d olf-line HIST', a n d di scus ses t h e m a i n o b s t ac l e s . C h a p t e r 4 e x p l o r e s t h e c o n ­ c a t e n a t i o n p r o p e r t i e s o f l i near c e ll ul ar a u t o m a t a r e g i s t e r s ( L C A R s ) , w h i c h p l a y a

(19)

C h a p t e r I. I n t r o d u c t i o n ke y rol e in o u r s o l u t i o n t o t h e m e r gi ng . C h a p t e r 5 p r e s e n t s t h e new t e s t i n g s c h e m e . T h r e e mo d if i e d s t r u c t u r e ' s for im p r o v i n g t h e s y s t e m p e r f o r m a n c e a i e i n t r o d u c e d . A des ign t e m p l a t e of a b e n c h m a r k c i r c u i t is p r o v i d e d t o d e m o n s t r a t e t h e a p p l i c a b i l i t y of t his s t u d y . C h a p t e r 6 is d e d i c a t e d to e v a l u a t i o n m e a s u r e s . A n ew a r e a cost e s t i m a t i o n m e t h o d for g e n e r a l c i r c u i t r y is s u gg e s t e d . T h e cost (' v a l ua t io n of t h e p r o p o s e d s c h e m e s in t e r m s o f silicon cost, t e s t i n g t i m e , desi gn c o m p l e x i t y , a n d pin c ou n t a n ' p r e s e n t e d .

C h a p t e r 7 is d e v o t e d t o t h e e r r o r c o ve r ag e c a p a b i l i t i e s of L K S R / L C A R b a s ed cy cl i c c o d e s , as c o m p a r e d t o convent . on' 1 e r r o r - d e t e c t i n g c o d e s s uc h as Merger a n d r e s i d u e c o d e s . C c n r l u d i tg r e m a r k s a n d t op i cs of f u l u r " r e s e a r c h a r e p r e s e n t e d in C h a p t e r 8. T h e r e a r e t wo a p p e n d i c e s . A p p e n d i x 1 c o n t a i n s t h e t a b l e s of L C A R self c o n c a t e ­ n a t i o n for l e n g t h 2 t o 16 L C A R s , c o n c a t e n a t i n g u p t o l e n g t h (id. A p p e n d i x 2 is t h e m a n u a l p a g e o f t h e C o n c u r r e n t C h e ck i n g code g e n e r a t o r a n d M I N I m i z c r ( c c m i n i ) p r o g r a m , d e v e l o p e d by t h i s a u t h o r t o a u t o m a t e t h e e n c o d i n g p r o c e ss of c o n c u r r e n t c h e c k i n g.

(20)

( J h a pt ar 2. B u c h g r o nicl 6

C h a p te r 2

B a ck g ro u n d

'I'llis c h a p t e r p r o v i d e s t h e n e c e s sa r y b a c k g r o u n d m a t e r i a l W e first p r e s e n t g e n e r a l f a u l t m o d e l s used in t e s t i n g . T h e n , we c o n s i d e r t h e p r o b l e m s t h a t B u i l t - I n Self- T o s t a d d r e s s e s , a n d e x p l o r e t wo wi de l y us ed t e s t i n g t e c h n i q u e s : p s e u d o r a n d o m t e s t g e n e r a t i o n a n d d a t a c o m p a c t i o n . F inally, we i n t r o d u c e t wo e x t e n s i v e l y u s e d c i r c u i t s t r u c t u r e s l or t e s t g e n e r a t i o n a n d d a t a c o m p a c t i o n : l i n e a r f e e d b a c k s h i f t r e g i s t e r s ( L F S I t s ) a n d l i near c e l l u l a r a u t o m a t a r e gi st er s ( L C A R s ) .

2.1

F a u lts an d F ault M o d e ls

T h e e l e m e n t s of di gi t a l s y s t e m s a r e s u b j e c t t o p h y s i c al d e f ec t s t h a t c a n c a u s e t h e m t o m a l f u n c t i o n . T h e d e f ec t s ca n b e m a n u f a c t u r i n g d e f e c t s a t c h i p , b o a r d o r s y s t e m level, or s e r v i c e - r e l a t e d d e f ec t s such as b u r n o u t d u e to o v e r l o a d i n g . To a v o i d d e a l i n g d i r e c t l y w i t h t h e l ar ge n u m b e r of p h ys i ca l d ef e c t s , t h e logical b e h a v i o u r o f t h e d e f e c t s a r e m o d e l e d as f a u l t s , s uc h t h a t t h e n effects c a n b e e x a m i n e d . A f a ul t m o d e l r e p r e s e n t s a r a n g e of p h y s i c al de f ec t s. T e s t i n g is a l w a y s d e f i n e d w i t h r e s p e c t t o a s e t o f fault s. F a u l t s ca n b e m o d e l e d a t d i ff e re n t levels o f t h e d e s i g n h i e r a r c h y f r o m l a y o u t g e o m e t r y , t r a n s i s t o r , logic g a t e , u p t o f u n c t i o n a l a n d s y s t e m

(21)

C h a p t e r 2. B a c k g r o u n d 7

levels. F a u l t s c a n bo classified a c c o r d i n g t o t h e i r n a t u r e o f a p p e a r a i n e: i pi >'inunt lit

f a u l t is a l w a y s p r e s e n t a n d does n o t d i s a p p e a r , o r c h a n g e its n a t u r e , d u r i n g t e s t i n g ;

a t r a n s i e n t f a u l t is p r e s e n t in s o m e int er val s of t i m e a n d a b s e n t in o t h e r s . T h e r e a r e t w o m a i n t y p e s of f a ul t s w i t h r e s p e c t to t h e i r effects on c i r c u i t b e h a v i o u r s : s eq u en ti al

f a u l t s a n d c o m b i n a t i o n a l faul ts. A s e q u e n t i a l f ault is c a u s e d by s o m e d e f e c t s , e.g.

t h e b r i d g i n g o f t wo o r m o r e si gnal lines in a c i r c u i t , s u c h that, a f e e d b a c k p a t h is c r e a t e d t o f o r m a n ew s t a t e in t h e n e t w o r k . C o m b i n a t i o n a l f a u l t s i n c l u d e t h e f i u l t s w h i c h d o n o t h a v e s u c h f e e d ba c k p a t h s . M a n y f a ul t m o d e l s h a v e b e e n p r o p o s e d , i n c l u d i n g t h e s t u c k a t m o d e l , t h e s t u c k o p e n m o d e l , t h e d e l a y m o d e l a n d t h e c u r r e n t test, m o d e l . T h e m o s t c o m m o n l y us ed f a u l t m o d e l is t h e s t u c k - a t f a u lt m o d el [2, p a g e 94] a t logic g a t e level. T h i s m o d e l h a s b e e n g e n e r a l i z e d t o a p p l y t o a n y f ault c o n d i t i o n t h a t c a u s e s a. logic g a t e lo b e h a v e as t h o u g h o n e of its i n p u t s or o u t p u t s is s t u c k a t logical 1 o r 0. A f ault in t h i s m o d e l is d e t e c t e d by a p p l y i n g a t e s t v e c t o r t h a t s h o u l d s e t t h e line t o t h e o p p o s i t e of t h e val ue it is s t u c k a t , t h e n p r o p a g a t i n g t h e v al u e of t h e line t o t h e c i r c u i t o u t p u t . T h e s t u c k - o p e n f a u l t m o d e l is c r e a t e d to d e a l wi th t h e m e m o r y ef fects c a u s e d by b r e a k s i n a C M O S c i r c u i t [46, p ag es 8-10]. It. m o d e l s a t r a n s i s t o r t h a t is s t u c k - o p e n (i.e. n o n - c o n d u c t i n g ) . A t e s t t o d e t e c t a p a r t i c u l a r s t u c k - o p c n t r a n s i s t o r c o n s i s t s of t w o v e c t o r s . T h e first i ni ti al iz e s t h e val ue of t h e line t h a t t h e t r a n s i s t o r in q u e s t i o n d r i v e s , a n d t h e s e c o n d c r e a t e s a s i t u a t i o n w h e r e t h e t r a n s i s t o r m u s t c h a n g e t h e v al ue o f t h e line a n d also m u s t p r o p a g a t e t h e new va l ue of t h e line t o an o u t p u t .

T h e de l ay f a u l t m o d e l is us ed t o d e t e c t t i m i n g f a u lt s in t h e c i r c u i t . It m o d e l s a

f a u l t t h a t c a u s e s a s i gn a l line (or p a t h of signal lines) t o be slow t o rise or slow to fall. T o d e t e c t a d e l a y fa ult , t h e a p p r o p r i a t e t r a n s i t i o n on t h e f a u l t y line m u s t be g e n e r a t e d , a n d t h e t r a n s i t i o n m u s t be visible a t an o u t p u t .

(22)

d l m p l c r 2. B a c k g r o u n d 8 b r i d g i n g f a u l t b e t w e e n two n o de s , a t os t v e c t o r is a p p l i e d w h ic h d r i v e s t h e n o d e s t o o p p o s i t e values. F a u lt s c a n be s ingl e or m u l t i p l e . T h e s in g le fault m o d e l is us ed in m o s t t e s t i n g r e s e a r c h d u e t o t h e s i m p l i c i t y of a n a ly s is a n d t h e l i m i t e d c o m p u t a t i o n a l p o w e r t o s i m u l a t e m u l t i p l e ( a i d ' s [2, p a g e 94J. In t h i s d i s s e r t a t i o n , u n l es s o t h e r w i s e n o t e d , we c o n s i d e r b o t h p e r m a n e n t a n d t r a n s i e n t c o m b i n a t i o n a l f a u l t s , which c a n be singl e a n d m u l t i p l e . O t h e r f a u l t m o d e l s a r e al so u s e d , a n d t h e y a r e i n t r o d u c e d whe n n e e d e d .

2.2

P se u d o r a n d o m T est P a tte r n G e n e r a tio n

' l e s t g e n e r a t i o n refers to t e c h n i q u e s for g e n e r a t i n g a n a p p r o p r i a t e s e t of i n p u t s t i m u l i for a c i r c u i t u n d e r t e s t . T e s t g e n e r a t i o n is a c o m p l e x p r o b l e m . T h e m o s t i m p o r t a n t m e a s u r e s o f a t es t g e n e r a t i o n t e c h n i q u e ar e t h e cost o f t e s t g e n e r a t i o n , t h e q u a l i t y of t h e g e n e r a t e d t e s t , a n d t h e cost of a p p l y i n g t h e t e s t . T r a d i t i o n a l t e s t i n g m e t h o d s r e q u i r e g e n e r a t i o n o f t es t v e c t o r s , wh i ch is a n e x p e n s i v e p r o c e s s , a n d a l a r g e a m o u n t o f m e m o r y m a y be r e q u i r e d t o s to re t h e set. E x h a u s t i v e t es t i n g uses al l p os si ble i n p u t c o m b i n a t i o n s as t e s t p a t t e r n s [4, p a g e •10]. E x h a u s t i v e t e s t i n g g u a r a n t e e s d e t e c t i o n of all d e t e c t a b l e c o m b i n a t i o n a l fa ult s. H o w e v e r , t h e e x p o n e n t i a l g r o w t h of t h e r e q u i r e d n u m b e r o f v e c t o r s l i m i t s t h e p r a c ­ tical a p p l i c a b i l i t y o f t hi s m e t h o d t o c i r c u i t s w i t h less t h a n t w e n t y o r so i n p u t s .

R a n d o m t est ing involves g e n e r a t i o n of r a n d o m t e s t v e c t o r s . H o w e v e r , t o a c h i e v e

a h i g h - q u a l i t y t es t , a l arge ,:et of r a n d o m v e c t o r s is n e e d e d , r e q u i r i n g a l o ng t i m e t o a p p l y t h e t es t [4, p age 177]. In p s e u d o r a n d o m t e s t i n g , t e s t v e c t o r s a r e g e n e r a t e d d e t e r m i n i s t i c a l l y . T h e v e c t o r s c a n b e r e g e n e r a t e d , a n d t h e r e f o r e d o n o t n e e d t o b e s t o r e d . M or e o v e r , t h e g e n e r a t o r i t s el f can b e i m p l e m e n t e d b y s i m p l e a n d e c o n o m ­ ical c i r c u i t s t r u c t u r e s (e.g. L F S R s ) . H y b r i d a p p r o a c h e s c o m b i n i n g t h e a b o v e t e s t

(23)

C n a p t i r 2. B a c k g r o u n d I)

g e n e r a t i o n m e t h o d s h a ve also bee n e x p l o r e d in o r d e r t o o b t a i n t h e best f e a t u r e s of e a c h t e c h n i q u e [•!].

2 .3

D a ta C o m p a c tio n

T o t e s t a c i r c u i t , a large v o l u i m of o u t p u t d a t a , g e n e r a t e d b y a p p l y i n g a l ar ge n u m b e r of i n p u t p a t t e r n s t o t h e C U T , has t o be s t o r e d in m e m o r y a n d c o m p a r e d w i t h t h e e x p e c t e d g o o d r e s ponse . A possible a l t e r n a t i v e is given by <Inin c o m p a c t i o n t e s t i n g , w h e r e t h e o u t p u t v e c t o r fr om a C U T is c o m p a c t e d i n t o a m u c h s h o r t e r c o m p r e s s e d f or m, ca l l ed a s i g n a t u r e , w i t h s o m e loss of i n f o r m a t i o n . An invalid s i g n a t u r e i n d i c a t e s t h e p r e s e n c e of e r ro r s in t h e o u t p u t s t r e a m . F i g u r e 2.1 s ho ws t h e coi c e p t of d a t a c o m p a c t i o n t e c h n i q u e s . A f ault is d e t e e ' e d if t h e s i g n a t u r e S ( R ' ) o b t a i n e d f r o m t h e C U T differs fr om t h e p r e c o m p u t e d s i g n a t u r e A'(//o) of a f a u l t - f r e e c i r c ui t . I n p u t te s t s e q u e n c e C U T lir m r i n d i c a t o r F i g u r e 2.1: D a t a c o m p a c t i o n t e c h n i q u e s M a n y m e t h o d s c a n be us ed t o o b t a i n a s i g n a t u r e : o n e ’s c o u n t i n g ( t h e s i g n a t u r e is t h e s u m of t h e n u m b e r of Us in t h e c i r c u i t o u t p u t ) , p a r i t y che ck ( t h e s i g n a t u r e is o n e b i t s i g n i fy i n g o d d o r eve n p a r i t y of t h e o u t p u t ) , t r a n s i t i o n c o u n t i n g ( t h e s i g n a t u r e is t h e n u m b e r of 1 t o 0 a n d 0 t o 1 t r a n s i t i o n s a p p e a r i n g on t h e i n f o r m a t i o n b i t s ) , s y n d r o m e t e s t i n g ( t h e s i g n a t u r e is t h e n o r m a l i z e d n u m b e r of Us in t h e cir -nit o u t p u t

(24)

(V/m/j'vr 2 l i ii c k g r o u n d 10

u n d e r e x h a u s t i v e a p p l i c a t i o n of all p os si ble i n p u t p a t t e r n s ) , a n d W a l s h s p e c t r a (•(impression ( t h e s i g n a t u r e is all t h e Wa ls h coefficients or a c a r ef u l l y c h o s e n s u b s e t of t h e m ) . Facli d a t a c o m p a c t i o n m e t h o d has its own a d v a n t a g e s a n d d i s a d v a n t a g e s . A d e t a i l e d d i sc u s si on of t h e s e t e c h n i q u e s can b e found in [2, 4]. T h e m o s t c o m m o n l y used d a t a c o m p a c t i o n t e c h n i q u e in d i g i t a l c i r c u i t t e s t i n g is s i g n a t u re a n a l y s i s [4, page s 109-144]. T h e a c t u a l i m p l e m e n t a t i o n of s i g n a t u r e a n a l y s i s relies on l i ne a r f e e d b ac k shi ft r e gi s t er s ( L F S R s ) as c o m p a c t o r s . A L F S R p e r f o r m s a p o l y n o m i a l di vi sion ov er a b i n a r y field, w h e r e t h e i n p u t s t r e a m is t h e d i v i d e n d p o l y n o m i a l a n d t h e LFSR. i t s el f i m p l e m e n t s t h e d i v i s o r p o l y n o m i a l [4, p a g e I 12]. At t h e e n d of t h e d i v i si on, t h e l as t s t a g e of t h e L F S R d e s c r i b e s t h e r e m a i n d e r p o l y n o m i a l , wh i ch is us ed as t h e s i g n a t u r e . T h e o u t p u t of t h e L F S R , r e p r e s e n t i n g t h e coeffici ents of t h e q u o t i e n t p o l y n o m i a l [4, p ag e 115]. As a n a l t e r n a t i v e , l i n e a r ce l l u l a r a u t o m a t a n g i s t e r s ( L C A R s ) c a n be us ed t o o b t a i n t h e s i g n a t u r e of a C U T in a s i m i l a r way. L C A R s h a v e bee n found h a v i n g t h e s a m e b e h a v i o u r as L F S R s w h e n u s e d as d a t a c o m p a c t o r s [56].

D a t a c o m p a c t i o n solves t h e t es t s e t s t o r a g e p r o b l e m a n d si mp l i f i es t h e c o m p a r ­ ison p r o c es s as o n l y t h e s i g n a t u r e n e e d s t o b e e x a m i n e d . O n e d r a w b a c k o f t hi s p ro c es s is t h a t e r r o r p a t t e r n s fr om a fa ul t y circuit m i g h t b e c o m p a c t e d i n t o t h e s a m e s i g n a t u r e as t h e go od c i r c ui t . T h i s p h e n o m e n o n is ca ll ed m a s k i n g o r ali asing [4, p age 114]. It is u n a v o i d a b l e si nce t h e c o m p a c t i o n p ro c es s i n t r o d u c e s si m e loss of i n f o r m a t i o n . In t h e n e x t t wo s e c ti o ns we p r o v i d e s o m e b a c k g r o u n d on t h e o p e r a t i o n s o f L F S R s a n d L C A R s .

(25)

C h a p t e r 2. B a c k g r o u n d 11

2 .4

L in ear F eed b ack Shift R e g is te r s (L F S R s)

2.4.1

B inary Sequence and P olynom ial Transform ations

A p o l y n o m i a l of d e g r e e 1 in - 1,

B( . r) = r m_ | . r m-1 + r m^ . r " ‘ | .... I r , .r I /•„,

c a n b e e x p r e s s e d 1 y a n m - b i t b i n a r y s e q u e n c e , B - r m i /•„, 2 .. . n m - bv r e p l a c i n g

all t h e n o n z e r o coefficients o f t h e p o l y n o m i a l wi th o n es a n d insert iug z e r o s lor t h e c o r r e s p o n d i n g z e ro coefficients. For e x a m p l e , tin* d e g r e e I p o l y n o m i a l , B{.r) .r'1 + .rJ + 1, c a n b e r e p r e s e n t e d by t h e b i n a r y s e q u e n c e , B I 1001. T h e re ve r s e r e p r e s e n t a t i o n , i.e., a b i n a r y s e q u e n c e to a p o l y n o m i a l t r a n s f o r m a t i o n , c a n also be p e r f o r m e d . T h e b i n a r y s e q u e n c e . . ./ V o correspond*- t o t h e c o c l l i ei e n ts o f t h e a p p r o p r i a t e p owe r s ol .r, a s s u m i n g t h a t t h e l e f t - m o s t bit of B is t h e m o s t s i g n i f i c a n t bit . T h e t r a n s f o r m a t i o n s p r o v i d e c o n v e n i e n t w a y s t o r e p r e s e n t data, in d if f e r e n t d o m a i n s .

2.4.2

LFSR Im p lem en tation o f P olyn om ials

A l i n ea r b i n a r y n e t w o r k is o n e c o n s t r u c t e d fr om t h e foll owi ng basic c o m p o n e n t s : u n i t d e l a y s , m o d u l o - 2 a d d e r s a n d m o d u l o - 2 s c a l a r m u l t i p l i e r s , A n e t w o r k o r s e q u e n t i a l m a c h i n e c o n s t r u c t e d of l i n ea r e l e m e n t s has t h e p r o p e r t y t h a t its r e s p o n s e t o a l i near c o m b i n a t i o n of i n p u t s will p r e s e r v e t h e p r i n c i p l e of s u p e r p o s i t i o n ^ . A s a r e s ul t , l i n e a r i t y is a n i m p o r t a n t c o n s i d e r a t i o n in t h e a n a l y s i s of s hi ft r e g i s t e r s e q u e n c e s . A s h i f t r e g i s t e r w i t h a l i ne a r f e ed b a c k n e t w o r k is called a l i n ea r f e ed b a c k s h i f t r e g i s ' e r

' T h e degree, o f a p o l y n o m i a l is tin* l a r g e s t p o w e r o f r w i t h n o n z e r o coefficient.

2Tlie P r i n c i p l e o f S u p e r p o s i t i a . t h e r e s p o n s e o f a l i n e a r n e t w o r k t o l i n e a r c o m b i n a t i o n of s t i m u l i is t h e l i n e a r c o m b i n a t i o n o f t h e r e s p o n s e s o f t h e n e t w o r k t o t h e i n d i v i d u a l s t i m u l i (I In* l i n e a r n e t w o r k is i n i t i a l l e d s u c h t h a t all s t o r a g e e l e m e n t s ( u n i t d e l a y ) a r e m t h e () s l a t e in e a c h case) .

(26)

(V/iapter 2. B a c k g r o u n d 12

( LFSR. ) [4, p a g e 64]. 11, is c o m p o s e d of m e m o r y e l e m e n t s ( u n i t d e l a y ) a n d X O R g a t e s ( M o d u l o 2 a d d e r s ) , c o n t r o l l e d by a s y n c h r o n o u s clock.

A L F S R r e p r e s e n t s in t h e s t r u c t u r e of its f e ed b ac k l oops a p a r t i c u l a r p o l y n o m i a l wh ic h a c t s as t h e d ivi sor in t h e o p e r a t i o n of t h e l i n ea r finite s t a t e m a c h i n e . T h e r e a r e t h r e e t y p e s of L F S R s t r u c t u r e s , t ype - 1, t y p e - 2 [56] a n d h y b r i d L F S R s [63]. For e x a m p l e , F i g u r e 2.2 s hows t h e t ype-1 L F S R of t h e p o l y n o m i a l P ( x ) = x* + x + 1. H e r e, a n o n - z e r o coefficient, e x c l u d i n g t h e h i g h e s t o r d e r b it , of a p o w e r o f x is m a p p e d i nt o an X O R g a t e w i t h a f e ed b a c k c o n n e c t i o n b e t w e e n t h e o u t p u t o f t h e hi ghest s t a g e ( c o r r e s p o n d i n g t o t h e h i g h e s t p owe i of x in t h e p o l y n o m i a l ) a n d t h e X O R g a t e ; a z e r o coefficient is c o r r e s p o n d i n g t o a d i r e c t c o n n e c t i o n f r o m s t a g e i to s t a g e i + 1. In t y p e - 2 L F S R s , all f e ed b ac ks a r e c o n n e c t e d t o e x t e r n a l X O R g a t e s a s s h o w n in F i g u r e 2.T. For a given p o l y n o m i a l , its t ype-1 a n d t y p e - 2 L F S R s h av e i s o m o r p h i c b e h a v i o u r (see ;.ection 4.1.1) [56]. A h y b r i d L F S R is t h e c o m b i n a t i o n of t h e t wo t y p e s [63]. To s i m p l i f y o u r di scussi on t h r o u g h t h i s d i s s e r t a t i o n , we a s s u m e t h a t t ype- 1 L F S R s a r e used. O u l p u l I n p u t X “ F i g u r e 2.2: A n e x a m p l e of a t y pe - 1 L F S R I n p u t F i g u r e 2.3: An e x a m p l e of a t y p e - 2 L F S R T h e s t a t e e q u a t i o n s wh i ch d e s c r i b e t h e t r a n s i t i o n s b e t w e e n s t a t e s c a n b e d i r e c t l y

(27)

C h a p t e r 2. B a c k g r o u n d

o b t a i n e d l’roni a L F S R s t r u c t u r e . A l e n g t h - r L F S R c o n s i s t s of r s t a g e s of m e m o r y cells, a n d e a c h of t h e m c a n h ave a b i n a r y va l ue “0” o r "1” . T h e s t a t e of t h e Unite s t a t e m a c h i e . - a t t i m e t is r e p r e s e n t e d by t h e c o n t e n t s of t h e r - bi t m e m o r y cells. Let S- a n d ,S'- + 1 d e n o t e t h e val ues of s t a g e i of t h e L F S R at t i m e I a n d I 1 1, re sp ec t iv e l y. F o r t h e t yp e- 1 L F S R s ho wn in F i g u r e 2.2, for e x a m p l e , we h a v e .S'|+1 = I n p u t 1 t p .S '] S’.'+' = S ' \ d ' S \ C’t+ 1 _ ct C'Z+1 _ c t o,i — ‘ a • A L F S R , as s h o w n in F i g u r e 2. 2, p e r f o r m s p o l y n o m i a l d ivi si on o v e r a b i n ar y field [59]. T h e L F S R itself r e p r e s e n t s t h e d i v i s o r p o l y n o n ial, B ( x ) \ t h e serial i n p u t s e q u e n c e r e p r e s e n t s t h e d i v i d e n d p o l y n o m i a l , M (. r ) ; t h e s e i ia l o u t p u t is t h e q u o t i e n t p o l y n o m i a l , Q ( x ) . A t t h e e n d of m cycles, w h e r e m is t h e degree' of A/(. r), the' last s t a t e of t h e L F S R d e s c r i b e s t he r e m a i n d e r p o l y n o m i a l , ll(.r). T h u s , t h i s o p e r a t i o n c a n b e d e s c r i b e d as follows: T a b l e 2.1 s ho ws t h e s t a t e t a b l e c o r r e s p o n d i n g to t h e a b o v e s t a t e e q u a t i o n s , for M ( x ) = x 8 + x + 1 = 100000011. A t t he e n d of t h e p o l y n o m i a l d i v i s i o n, we h av e Q ( x ) = .F1 + x + I = 00001001 I R(. r ) = .r2 + .r = 0110.

A cellular a u t o m a t a ( C A ) is def ine d as a u n i f o r m a r r a y of i de n t i c a l cells in an n -c li me ns iona l s p a c e [21, 56, 66]. F a c h cell is c a p a b l e of h a v i n g a p a r t i c u l a r s t a t e ,

(28)

C h a p t e r 2. IlficKgroiuid 14

Clock ln[>ut(M(x)) ■sy+1 .sy+1 Oul(nU(Q(x>)

0 0 0 0 1 1 1 0 0 0 U(x8) 2 0 0 1 0 0 o ( H) :i 0 0 0 1 0 0(x6) -1 0 0 ) u 1 0 (x''J r> 0 1 1 0 0 I (x<) (i 0 0 ! 1 0 0 (x3) 7 0 0 0 1 1 0 (x2) 8 1 0 1 0 1 1 (x1) <) 1 0 1 1 0 1 (x°) R(x) (x°) (*') C 2) (x3) T a b l e 2.1: An e x a m p l e of p o l y n o m i a l di vi si on in o u r c a s e a b i n a r y s t a ' e . F u r t h e r , ea ch cell is r e s t r i c t e d t o local n e i g h b o u r h o o d i n t e r a c t i o n o nl y a n d has n o gl obal c o m m u n i c a t i o n , i.e.. t h e n e i g h b o u r h o o d of a cell is t y p i c a l l y t a k e n t o be t h e cell itself a n d all i m m e d i a t e l y a d j a c e n t cells. A o n e -

tlii iKii si onal l in e a r cellular a u t o m a t a is a l i ne a r a r r a y of i d e n t i c a l cells w i t h left a n d

r i g h t n e i g h b o u r s a n d l i ne a r o p e r a t i o n s . T h e a l g o r i t h m s t h e cells u se t o c o m p u t e t h e i r s u c c e s s o r s t a t e s , b as ed on t h e i n f o r m a t i o n r ecei ved fr om t h e i r n e a r e s t n e i g h b o u r s , a r e r e f er r ed as t h e c o m p u l a t i o n rules [66]. For a b i n a r y o n e - d i m e n s i o n a l l i n e ar C A , t h e r e e x i s t a t o t a l of 2 2'1 = 256 d i s t i n c t b i n a r y rules. H o w e v e r , a class o f l i n ea r C A u s i n g o n l y l i n ea r rules 9 0 a n d 150 is e x t e n s i v e l y c o n s i d e r e d in t h e l i t e r a t u r e . In r u l e 90, t h e n e x t s t a t e of a cell is t h e m o d u l o - 2 s u m of t h e n e a r e s t n e i g h b o u r s ’ p r e s e n t s t a t e , wh il e rul e 150 d e r i v e s t h e n e x t s t a t e from t h e m o d u l o - 2 s u m o f t h e p r e s e n t s t a t e s of t h e cell a n d b o t h its left a n d r i g h t n e i g h b o u r s . T h e s e r ul es c a n b e m o r e f o r m a l l y g i ve n as

R u l e 90: S ' +1 = 5?_, ® S j +l R u l e 150: A‘+' = S f _ , 0 S ‘ 0 A'+ 1 ,

(29)

C h a p t e r 2. B a c k g r o u n d

w h e r e S ‘ a n d 5',,+l d e n o t e t h e s t a t e of s t ag e i a t t i m e I a nd / f 1, r e s pe c t i ve l y . A l i n e a r c e l l u l a r a u t o m a t a r e g is t er ( L C A R ) is a finite s t a l e m a c h i n e i m p l e m e n t ­ i ng a l i n e a r c e l l u l a r a u t o m a t a . In t h i s d i s s e r t a t i o n , wo use L C A R s w i t h rules 90 a n d ■50 only. F i g u r e 2.4 d e p i c t s an e x a m p l e of a L C A R . T h e c o r r e s p o n d i n g c o m p u t a t i o n r ul es a r e s h o w n for e a c h cell. T h e L C A R is sai d t o h a v e nu ll b o u n d t i n j c o n d i t i o n s s i n c e t h e first a n d t h e l a s t cells re ce i ve c o n s t a n t 0 ’s, as s hown.

S4 S 3 S 2

(ISO)

( 1 5 0 )

F i g u r e 2.4: A L C A R

If we use M” t o d e n o t e a cell w i t h rule 150 a n d “0 ” for a, cell w i t h r ul e 90, t h e L C A R s t r u c t u r e c a n b e r e p r e s e n t e d by a. b i n a r y s e q u e n c e . T h e e x a m p l e b C A R in F i g u r e 2. 4 c a n b e r e p r e s e n t e d by “ 1010’'.

If t h e r e is n o e x t e r n a l i n p u t t o a L F S R or a L C A R , it is said t o h a v e a null b o u n d a r y c o n d i t i o n . A L F S R or a L C A R w i t h null b o u n d a r y c o n d i t i o n b e h a v e s as a n a u t o n o m o u s m a c h i n e t h a t cycles t h r o u g h s e q u e n c e s of s t a t e s ' . A L F S R / L C A R w i t h o u t a n e x t e r n a l i n p u t can b e us ed as a p s e u d o r a n d o m leal pal I c m i/cncral or ( P R P G ) t h e s t a t e s of t h e m a c h i n e a r e us ed as t e s t p a t t e r n s to s t i m u l a t e a C U T in a p s e u d o r a n d o m o r d e r [4, pages 61-80].

2 .6

S u m m a r y

D i g it a l s y s t e m t e s t i n g r e q u i r e s an a p p l i c a t i o n of a s u i t a b l e s e t of t e s t p a t t e r n s as i n p u t s t i m u l i a n d t h e c o m p a r i s o n of a c t u a l c i r c u i t r e s p o n s e s with t h e c o r r e c t re s p ou s e s . V a ri o us t e s t p a t t e r n g e n e r a t i o n a n d d a t a c o m p a c t i o n t e c h n i q u e s h a ve been

(30)

C h a p t e r 2. B a c k g r o u n d 16 d e v e l o p e d t o m e e t t h i s r e q u i r e m e n t . In B u i l t - I n Sel f- Te st, t h e c i r c u i t r y lor t e s t p a t t e r n g e n e r a t i o n a n d d a t a c o m p a c t i o n is b u i l t o n t o t h e c h i p itself. L F S R s a r e w i de l y used as Lest p a t t e r n g e n e r a t o r s an d d a t a c o m p a c t o r s in B I S T a p p l i c a t i o n s [•1]. Re c e n t l y, t h e r e h as bee n i nt e r e st in us ing L C A R s as a s o u r c e of t e s t s t i m u l i | l » , 2 I | . In t hi s c h a p t e r , t h e f ault t y p e s a n d m o d e l s in d i gi t a l c i r c u i t t e s t i n g a r e bri ef ly d ef i n e d . We r e v i e w e d t h e t wo i m p o r t a n t t e s t i n g t e c h n i q u e s , p s e u d o r a n d o m t e s t p a t t e r n g e n e r a t i o n a n d d a t a c o m p a c t i o n . M o r e o v e r , we d e s c r i b e d t h e o p e r a t i o n s o f t wo we ll - k n ow n s t r u c t u r e s for p s e u d o r a n d o m t e s t p a t t e r n g e n e r a t i o n a n d d a t a c o m p a c t i o n : l i n ea r f e ed b a c k shift r e gi st er s ( L F S R s ) a n d l i n ea r c e l l u l a r a u t o m a t a r e g i s t e r s ( L C A R s ) .

(31)

C h a p t e r 3. C o n c u r r e n t ( ' b e c k i n g a n d Ofl'-line H I S T IT

C h a p te r 3

C o n cu rren t C h eck in g an d O ff-lin e

B I S T

3 .1

I n tr o d u c tio n

C o n c u r r e n t c h e c k i n g a n d ofr-line t e s t i n g a r e c o m p l e m e n t a r y t e c h n i q u e s in t e s t i n g : c o n c u r r e n t c h e c k i n g is c a p a b l e of d e t e c t i n g e r ro r s in real t i m e w h il e oil' line t e s t i n g p r o v i d e s t e s t i n g d u r i n g t e s t m o d e ; c o n c u r r e n t c h e c k i n g is a b l e t o c a t c h Irnnai cnl f a u l t s w h i c h m a y n o t b e d e t e c t e d by off-line t es t i n g . Off-line H I S T h as b ee n wi de l y u s e d by d e s i g n e n g i n e e r s in c o m m e r c i a l c hi ps , whi le c o n c u r r e n t c h e c k i n g is still con s i d e r e d t o b e e x p e n s i v e in silicon. Howe ve r , it is possible, wi th p r o p e r c o o r d i n a t i o n o f d es i g n t e c h n i q u e s , t o e x p e d i t e off-line t e s t i n g by m a k i n g use of on line t e s t i n g f aci lities d u r i n g off-line t e s t i n g [20, 51].

In t h e n e x t t h r e e s ec t i o ns , we s u m m a r i z e t h r e e i m p o r t a n t t e s t i n g a n d D I ' T t e c h n i q u e s : c o n c u r r e n t c h e c k i n g, s i g n a t u r e a na ly s i s , a n d s ca n b a s e d t e s t . A re vi ew o f t h e l i t e r a t u r e c o m b i n i n g t h e t h r e e t e c h n i q u e s c a n be f o u n d in s e c t i o n b. Fina l ly, we p r e s e n t t h e p r o b l e m s t a t e m e n t for t h e m e r g i n g of t h e t e c h n i q u e s a n d s t a t e t h e j u s t i f i c a t i o n a n d m o t i v a t i o n of t h i s r es ear c h in s ec ti on (i.

(32)

( H u i p U r 3. ( ' o u f i i r r o n l (■hocking a n d Off -line B I S T 18

3.2

C o n cu rren t C h eck in g

S i n c e off line HI S T t e c h n i q u e s a r e c o n d u c t e d o nl y at, p r e s e t t i m e s a n d n o t c o n t i n u ­ ousl y, a t r a n s i e n t fault, m a y go u n d e t e c t e d if it does n o t h a p p e n to a p p e a r d u r i n g a t e s t i n g p e r io d s. Mo re ov er , off-line H I S T r e q u i r e t h e c i r c u i t u n d e r t e s t s u s p e n d n o r m a l o p e r a t i o n a n d s w i t ch t o a s e p a r a t e test, m o d e. In s af et y c r i ti ca l a p p l i c a t i o n s , b o t h high r e li a b i l i t y a n d c o n t i n u o u s o p e r a t i o n a r e c o n s i d e r e d t o b e v er y i m p o r t a n t . C o n c u r r e n t c h e c k i ng i nc l u d es e r r o r d e t e c t i o n c i r c u i t r y , wh i ch d e t e c t s e r r o r s t h a t o c c u r d u r i n g n o r m a l s y s t e m o p e r a t i o n ['16]. E x t r a c h e c k i n g b i t s a r e a d d e d t o t h e or igi na l c i r c u i t f u nc t i o n so t h a t t h e o p e r a t i o n s of t h e i n t e g r a t e d f u n c t i o n ( i n c l u d i n g t h e o r i g in al a n d t h e a u g m e n t e d c h e c k i ng c i r c u i t r y ) c a n be m o n i t o r e d w h e n t h e o r i g i na l c i r c u i t is f u n c t i o n i n g. T h i s is a c h i e ve d t h r o u g h t h e u s e of e r r o r d e t e c t i n g c o d e s . V a r i o u s e r r o r - d e t e c t i n g c o d e s well k n o w n in d a t a c o m m u n i c a t i o n ca n b e us ed in c o n c u r r e n t che cki ng. T h e y a,re: B e r g e r codes ( t h e c o d e b i t s a r e t h e b i n a r y r e p r e s e n t a t i o n of t h e n u m b e r of 0 ’s or l ’s in t h e o r i g i na l d a t a ) , r e s i d u e c o d e s ( t h e c h e c k i n g b i t s a r e t h e r e s id u e of i n f o r m a t i o n bi ts), m - o u t - o f - n c od e s (in, o u t o f n i n f o r m a t i o n bi ts a r e r e q u ir e d to b e “ 1” ), a n d p a r i t y c h e c k i n g ( a n o d d o r e v e n p a r i t y b i t is a d d e d to t h e i n f o r m a t i o n b i ts ). W h e r e a p a r i t y c o d e c a n b e us ed for g e n e r a l c i r c u i t r y , a r e s i d u e c od e is m o r e s u i t a b l e t o a r i t h m e t i c u n i t s , a n d B e r g e r a n d m - cmt- of- n c o d e s a r e b e t t e r for e r r o r - d e t e c t i o n of c i r c u i t f u n c t i o n s w i t h u n i d i r e c t i o n a l e r r o r s ( l - t o - 0 or G-t.o-l e r r o r s only, b u t no t b o t h ) . E r r o r - d e t e c t i n g code s c a n be g r o u p e d i n t o s e p a r a b l e c o d e s a n d n o n - s e p a r a b l e c o d e s . S e p a r a b l e c o de s a r e t h o s e in whi ch t h e d a t a c a n be e x t r a c t e d d i r e c t l y f r o m t h e c i r c u i t o u t p u t s w i t h o u t t h e n e e d for d e c o d i n g , i.e. c o d e a n d d a t a o u t p u t s a r e d i s j o i n t , a n d t h e d a t a o u t p u t s a r e u n a l t e r e d b y t h e e x i s t e n c e o f t h e a d d e d c o d e bi ts . For n o n - s e p a r a b l e code s, d e c o d i n g of all o u t p u t s ( t h e d a t a b i t s pl us t h e c o d e b i t s ) is n e c e s s a r y t o e x t r a c t t h e d a t a i n f o r m a t i o n a f t e r t h e e n c o d i n g p r o ce s s. O n e usef ul p r o p e r l y of s e p a r a b l e code s is t h a t t h e d a t a c a n be u s e d by t h e s y s t e m i n d e p e n d e n t

(33)

C h a p t e r : } , ( ' o n c i i rr cu t C h e e k i n g a n d Oil'-line H I S T 19

Outputs

terror iiulicuior Checker

F i g u r e .‘F i t A c o n c u r r e n t c h ec k i n g o r g a n i z a t i o n

o f t h e c h e c k i n g proc ess. In c o n t r a s t , wi th n o n - s e p a r a b l e c o de s, t h e d a t a is not a v a i l a b l e u n t i l a d e c o d i n g proc ess is c o m p l e t e d . T h i s m a y i m p l y a l i m e delay. T h e d e c o d i n g c i r c u i t r y r e q u i r e d by t h e n o n - s e p a r a b l e c o d e s ca n al so r e p r e s e n t a s i g n i f i ca n t h a r d w a r e cost in c o m p a r i s o n to t h e s e p a r a b l e s c h e m e s . F i g u r e 3.1 s h o w s a c o n c u r r e n t c h e c k i n g o r g a n i z a t i o n u s i ng a s e p a r a b l e code. D u r i n g n o r m a l o p e r a t i o n , t h e c h e ck e r collects b o t h t h e n o r m a l o u t p u t s a n d t h e c o d i n g b i t s f r om t h e C U T for e v e ry i n p u t c o m b i n a t i o n . A n e x p e c t e d s i g n a t u r e is c o m p u t e d f r o m t h e o u t p u t s a n d it is c o m p a r e d w i t h t h e c a r r i e d c o d i n g b it s. T h e c h e c k e r s i g n al s t h e d e t e c t i o n of a fa ult . T h e s y s t e m c o n t i n u e s o p e r a t i n g for t h e n e x t i n p u t v e c t o r . O n e a d v a n t a g e o f c o n c u r r e n t c h e c k i ng is t h a t t h e d a t a p a t t e r n s used in nor m a l o p e r a t i o n al so s er ve as t e s t p a t t e r n s , t h u s e l i m i n a t i n g s o m e e x p l i c i t t e s t i n g e x p e n s e s . A n o t h e r a d v a n t a g e is t h e a b i l i t y t o d e t e c t t r a n s i e n t f a u l t s , wi th less si I i c o n a r e a o v e r h e a d t h a n t h a t of h a r d w a r e d u p l i c a t i o n . C o n ve r s e ly , t h e r e a r e several p r o b l e m s . T h e a p p l i c a t i o n p a t t e r n s m a y n ot e x er ci se all t h e s t o r a g e e l e m e n t s or all t h e i n t e r n a l c o n n e c t i o n lines, such t h a t de f ec t s, which e x i s t in u n e x e r c i s e d places, a r e n o t d e t e c t e d . A d d i t i o n a l har dwar e ' c i r c u i t r y is r e q u i r e d for t h e a u g m e n t e d cir c u i t a n d t o i m p l e m e n t t h e che cker s. A c i r c u i t f u n c t i o n w i t h a d d e d c h e c k i n g bits u s u a l l y r e s u l t s in a n a u g m e n t e d c i r c u i t t h a t is l ar g e r in size t h a n t h e o r igi na l one.

(34)

C h a p t e r 3. Concurrent. C h e e k i n g a n d Off-line B I S T 2 0

C o m p l e x i t i e s of t h e s p ec ia l l y d e s i gn e d c he cke r s vary in t h e d i f f e r e n t c o d i n g s c h e m e s . A t l ea s t t wo ( s o m e t i m e s t h r e e or four) e x t r a pi ns a r e r e q u i r e d as e r r o r i n d i c a t o r s , wh ic h can he c o n s i d e r e d e x p e n s i v e in a design b e c a u s e of t h e l i m i t e d n u m b e r of p i ns a v a i l a b l e . T h e d e g r e e o f f ault c o v e r a g e p r o v i d e d by c o n c u r r e n t c h e c k i n g c a n al so b e less t h a n t h a t of off-line t e s t i n g. T h e s e p r o b l e m s h a ve l i m i t e d t h e use of c o n c u r r e n t c h e c k i n g in t h e VLSI t e s t i n g e n v i r o n m e n t .

3 .3

S ig n a tu r e A n a ly sis

S i g n a t u r e a n a l y s i s is a n e x t e n s i v e l y used d a t a c o m p a c t i o n t e c h n i q u e for off-line H I S T , b a s e d on t h e c o n c e p t of cyclic r e d u n d a n c y c h e c k i n g ( C R ( J ) a n d r e al i ze d in h a r d w a r e u s i ng l i near f e e d b ac k s hi ft r egist er s ( L F S R s ) as e x p l a i n e d in s e c t i o n 2.4. F i g u r e 3.2 s ho ws a s i g n a t u r e a n a l y s i s o r g a n i z a t i o n of a s ingl e o u t p u t n e t w o r k , w h e r e t h e L F S R s s e r v e t wo p u r p o s e s : d a t a c o m p a c t i o n a n d t e s t p a t t e r n g e n e r a t i o n . T h e L h ' S R- A is used as a p s e u d o r a n d o m t es t p a t t e r n g e n e r a t o r , a n d t h e s t a t e s oi t h e L F S R a r e us ed as t e s t p a t t e r n s to s t i m u l a t e t h e C U T . T h e L F S R - B w o r k s as a d a t a c o m p a c t o r . Inpul lesl

sequence response Output

sequence R’ Signature S(R ’) Good signature S (Rq) Error indicator Comparator Data compactor (LFSR-B) CUT F i g u r e 3.2: A s i g n a t u r e a n a l y s i s o r g a n i z a t i o n For a m u l t i p l e - o u t p u t c i r c u i t , t h e o v e r h e a d of a s i n g l e - i n p u t s i g n a t u r e a n a l y z e r o n

Referenties

GERELATEERDE DOCUMENTEN

The ‘idea’ of the whole is such an important element of the artwork and coupled with the merging of different art forms makes the artwork an entity, therefore the

Leute wollen in einer Zeit, in der sie der Beruf in neue Regionen verschlägt, ihre Wurzeln nicht verlieren“, erklärt der Soziologe Jan Schmidt, der an der Universität Bamberg

[r]

lation of the action transitions between the related system states, branching bisimilarity relaxes this condition: it allows the sequences of internal steps that possibly precede

Een tweetal plantaardige oliën in verschillende formulering worden getoetst op werking tegen katoenluis in chrysant.. De vragen hierbij

bijdrag en aan her wegzuigen van doorgaand verkeer d&lt;)or de gebieden. Voorzover de maatregelen binm. 'n de gebieden daar wel toe bijdragen, is het van belang dat

Zo kan de grote poel (spoor 18) die tijdens het archeologisch onderzoek werd aangesneden in de zuidelijke helft van de centrale zone worden geïnterpreteerd als de Biestdrenk

b) Indien daar volkome aan die eise van die praktyk gehoor gegee moet word, wil dit voorkom asof die opleiding van die bedryfsielkundige in die toekoms meer &#34;gerig&#34; sal wees -