• No results found

Plasma-enhanced chemical vapor deposition of silicon dioxide: optimizing dielectric films through plasma characterization

N/A
N/A
Protected

Academic year: 2021

Share "Plasma-enhanced chemical vapor deposition of silicon dioxide: optimizing dielectric films through plasma characterization"

Copied!
200
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

Plasma-enhanced Chemical Vapor Deposition

of silicon dioxide

Optimizing dielectric films through plasma characterization

(2)

Voorzitter: prof. dr. ir. A.J. Mouthaan Universiteit Twente Secretaris: prof. dr. ir. A.J. Mouthaan

Promotor: prof. dr. ir. R.A.M. Wolters Universiteit Twente Assistent

promotor: dr. A.Y. Kovalgin Universiteit Twente Referenten: dr. J. Holleman Universiteit Twente

dr. ir. J.H. Klootwijk Philips Research Leden: prof. dr. ing. A.J.H.M. Rijnders Universiteit Twente

prof. dr. J. Schmitz Universiteit Twente prof. dr. C.I.M. Beenakker Technische Universiteit

Delft

prof. dr. hab. in ˙z. B. Majkusiak Politechnika Warszawska prof. dr. ir. M.C.M. van de Sanden Technische Universiteit

Eindhoven

Title: Plasma-enhanced chemical vapor deposition of silicon dioxide: optimiz-ing dielectric films through plasma characterization

Author: Arjen Boogaard ISBN: 978-90-365-3130-6 DOI: 10.3990/1.9789036531306

Foto omslag: toegangshek in de vorm van een computerchip van de Oranjekerk in Amsterdam.

Ontwerp omslag en binnenwerk: Jos Smeets, Deventer (www.quixote.nl) Figuren binnenwerk: Ihor Brunets, Arjen Boogaard

The research was supported by the Dutch Technology Foundation STW (project “Low temperature semiconductor device fabrication” TEL.6358) and carried out in the Semiconductor Components group, MESA+ Institute for Nanotech-nology, University of Twente, The Netherlands.

(3)

Plasma-enhanced Chemical Vapor Deposition

of silicon dioxide

Optimizing dielectric films through plasma characterization

Arjen Boogaard

Proefschrift

ter verkrijging van de graad van doctor aan de Universiteit Twente, op gezag van de Rector Magnificus,

prof. dr. H. Brinksma,

volgens besluit van het College voor Promoties in het openbaar te verdedigen

op woensdag 12 januari 2011 om 16:45 uur door

Arjen Boogaard geboren op 10 september 1971

(4)

dr. A.Y. Kovalgin (assistent promotor)

Copyright c 2011 by Arjen Boogaard, Nijmegen, the Netherlands

All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted, in any form or by any means, electronic, mechanical, photocopying, recording or otherwise, in whole or in part without the prior written permission of the copyright owner.

(5)

CONTENTS

Contents

List of symbols and acronyms ix

1 Introduction 1

1.1 Motivation and scientific challenges . . . . 1

1.2 Background . . . . 4

1.2.1 Developments in plasma sources . . . 5

1.2.2 PECVD . . . 7

1.3 Objectives of this research . . . . 9

1.4 Outline of the thesis . . . . 9

I

THE PLASMA STATE

11

2 Introduction 13 3 Aspects of plasma physics 15 3.1 General . . . . 15

3.2 Particle collisions . . . . 20

3.3 Evaluation of criteria and domains . . . . 22

3.4 Energy transfer . . . . 24

4 Experimental equipment 29 4.1 ICPECVD system . . . . 29

4.1.1 The variable axial magnetic field . . . 31

4.1.2 The magnetic multipole wall . . . 31

4.1.3 Impedance matching networks . . . 32

4.1.4 External oscillator . . . 33

4.1.5 Typical plasma operation conditions . . . 35

4.1.6 Wafer temperature control . . . 35

(6)

4.1.8 Gas conduction . . . 37

4.1.9 Heat fluxes from plasma . . . 38

4.1.10 Heat generation due to chemical reactions . . . 39

4.2 Langmuir probe . . . . 40

4.3 Optical Emission Spectroscopy (OES) . . . . 45

4.3.1 Corona versus saha equilibrium . . . 46

4.3.2 Line intensities from ground states in corona equilibrium 47 4.3.3 Excitation from non-ground states . . . 50

4.3.4 Line ratios . . . 52

4.3.5 Equipment . . . 52

5 Experimental characterization of the discharge 55 5.1 Introduction . . . . 55

5.2 Argon plasmas . . . . 56

5.2.1 First verification of LP measurements . . . 61

5.2.2 The variable axial magnetic field . . . 61

5.2.3 Second verification of the LP measurements . . . 64

5.2.4 Estimate of the density of metastable atoms . . . 69

5.3 Ar–N2, Ar–N2O and Ar–SiH4 plasmas . . . . 69

5.4 Conclusions . . . . 70

6 Utilization of the measured plasma parameters 75 6.1 Introduction . . . . 75

6.2 Modeling the plasma composition . . . . 75

6.2.1 Reactions involving SiH4and H2. . . 76

6.2.2 Reactions between SiH4and Ar . . . 77

6.2.3 Reactions involving N2O, N2, O2, and Ar . . . 77

6.2.4 Reactions involving SiH4, and N2O . . . 78

6.3 Typical modeling conditions . . . . 78

6.4 Impact of EEDF on silane-based plasmas . . . . 78

6.5 Predicting film properties by modeling . . . . 81

6.5.1 Silane fraction of 0.8% . . . 84

6.5.2 Silane fraction of 0.08% . . . 84

(7)

CONTENTS

II

THE SOLID STATE

87

7 Introduction 89 8 Experimental 93 8.1 Introduction . . . . 93 8.2 Wafer preparation . . . . 93 8.3 Spectroscopic ellipsometry . . . . 94 8.4 Electrical characterization . . . . 95 8.4.1 Capacitance–voltage (C–V) characteristics . . . . 95

8.4.2 Effect of oxide fixed charge and interface traps . . . 97

8.4.3 Current–voltage (I–V) characteristics . . . . 98

9 Characterization of the film properties 101 9.1 Deposition rate and material density . . . 101

9.1.1 Silane fraction of 0.8% . . . 101 9.1.2 Silane fraction of 0.08% . . . 102 9.2 Leakage current . . . 102 9.2.1 Silane fraction of 0.8% . . . 102 9.2.2 Silane fraction of 0.08% . . . 104 9.3 Capacitance–voltage characteristics . . . 106 9.3.1 Silane fraction of 0.8% . . . 106 9.3.2 Silane fraction of 0.08% . . . 108

9.4 Summarizing the correlations between predicted and measured film properties . . . 111

9.5 Utilization in electronic devices . . . 111

9.6 Conclusions . . . 114

10 Net negative charge in SiO2dielectric layers 117 10.1 Introduction . . . 117

10.2 Results and discussion . . . 118

10.2.1 The electronic nature of the negative charge . . . 124

10.2.2 The physical nature of the negative charge . . . 124

10.2.3 C–V measurements of SiO2:O layers . . . 127

10.2.4 Preliminary conclusions and recommendations . . . 131

10.3 Conclusions . . . 131

11 Conclusions and recommendations 133 11.1 Conclusions . . . 133

(8)

Bibliography 136 Summary 155 Samenvatting 159 Author biography 163 List of publications 164 US patents 168 Appendices 173

A Calculation of line intensity ratios 173

B Line intensities caused by Ar 1s5-metastables 179

(9)

List of symbols and acronyms

Symbol Description (value) [unit]

A spontaneous emission rate or Ein-stein A coefficient

[s1]

B magnetic flux density [T]

Cox oxide capacitance [F/cm2]

Cs semiconductor capacitance [F/cm2]

Da ambipolar diffusion coefficient [m2/s]

De,i diffusion constant of the electrons

or ions

[m2/s]

Dit Interface trap density [states· eV−1cm2]

EF Fermi energy or Fermi level [eV]

Eox oxide electric field [V/m]

f(θ) electrical activation fraction of im-plantation dose

fυ,e velocity or energy distribution function

h Planck’s constant 6.626· 10−34J· s

Hf reaction enthalpy [J/mol]

I current [A]

I intensity of a particular emission line

[W/sr]

Ie0, Ii0 electron or ion saturation current [A]

J current density [A/cm2]

k reaction rate constant [m3· s−1]

(10)

Symbol Description (value) [unit]

k wave number [m1]

kiz rate constant for ionization [m3· s−1]

km mean thermal conductivity [J· m−1· s−1· K−1]

krec rate constant for recombiation [m3· s−1]

Kox oxide dielectric constant

kTe characteristic electron energy [eV]

me electron mass 9.109· 10−31kg

n Index of refraction

Ne,i,g electron, ion, or neutral density [m3]

Q throughput [Torr· liter · s−1]

Qbulk oxide bulk charge [C/cm2]

Qf Fixed charge near the Si–SiO2

in-terface

[cm2]

Qs substrate charge [C/cm2]

Ramb loss rate of ions and electrons due

to ambipolar diffusion

[s1]

Rp projected range [nm]

Rrec recombination rate [s1]

S production rate of ions and elec-trons

[s1]

S pumping speed [l/s]

Tox thickness of the oxide [nm]

Vf floating potential [V]

VFB flatband voltage [V]

VG gate voltage [V]

Vox potential drop across the oxide [V]

Vp plasma potential [V]

α thermal accommodation

coeffi-cient

αiz ionization degree

Γ particle flux [m2· s1]

ΔRp projected straggle [nm]

ε emission coefficient due to radia-tion

ε0 permittivity of vacuum 8.854· 10−12F/m

θ implantation dose [ions/cm2]

λD Debye length or shielding

dis-tance

[m]

(11)

Symbol Description (value) [unit]

λm mean free path between collisions [m]

μe,i mobility constant of the electrons

(e) or ions (i)

[m2/(V· s)]

ν collision frequency [Hz]

ρ charge density [C/cm3]

ρion ion density in the oxide [ions/cm3]

ρox charge density in the oxide [C/cm3]

σ cross section of a particular colli-sion process

[m2]

σB Stefan–Boltzmann constant 5.67· 10−8W· m−1· K−4

τ mean time between collisions [s]

τ residence time [s]

υB Bohm velocity [m· s−1]

υe electron velocity [m· s−1]

φ photon flux [photons/s]

Φ potential [V]

ϕms metal–semiconductor

workfunc-tion difference

[V]

Ψs semiconductor surface potential [V]

ω oscillation frequency [Hz]

(12)

Acronym Description

AES Auger electron spectroscopy ALD atomic layer deposition CCD charge-coupled device CCP capacitively coupled plasma

CL cathodoluminescence

CMOS complementary metal-oxide-semiconductor CVD chemical vapor deposition

dc direct current

ECR electron cyclotron resonance

EEDF electron energy distribution function ETP expanding thermal plasma

FN Fowler–Nordheim (tunneling current) HDRP high-density remote plasma

HF high-frequency or Hydrofluoric acid ICP inductively coupled plasma

ICPECVD inductively-coupled plasma-enhanced chemical vapor depo-sition

LP Langmuir probe

LPCVD low-pressure chemical vapor deposition LSS Lindhard, Scharff, Schiott

MB Maxwell–Boltzmann (not: Mercedes Benz) MEMS Micro-electro-mechanical systems

MOEMS Micro-opto-electromechanical systems MOS metal oxide semiconductor

NIR near-infrared NVM non-volatile memory

OES Optical emission spectroscopy

PECVD plasma-enhanced chemical vapor deposition PID proportional-integral-derivative

PL photoluminescence

PMA post-metal anneal

PO plasma oxidation

POA post-oxidation anneal

QS quasi-static

RESURF reduced surface field

rf radio frequency

RP remote plasma

(13)

Acronym Description

RTO rapid thermal oxidation SE spectroscopic ellipsometry SEM scanning electron microscopy SHG second-harmonic generation TEM transmission electron microscopy TEOS Tetraethyl orthosilicate

TFT thin-film transistor

TRG-OES trace rare gasses optical emission spectroscopy XPS x-ray photoelectron spectroscopy

XRD x-ray diffraction XRR x-ray reflectometry

(14)
(15)

1

Introduction

1.1

Motivation and scientific challenges

The development of electronic integrated circuits has had and still has a large impact on society, enabling a highly automated world. Most of the electronic integrated circuits used today are made in complementary metal-oxide-semi-conductor (CMOS) technology. The whole of CMOS industry has been made possible by the unique properties of silicon dioxide: the only native oxide of a common semiconductor which is stable in water and at elevated temperatures, an excellent electrical insulator, a good dielectric, a mask to common diffus-ing species and capable of formdiffus-ing a nearly perfect electrical interface with its substrate when it is grown by thermal oxidation (at 800–1100C) [1]. Deposited silicon dioxide, manufactured by chemical vapor deposition (CVD), is almost as old as thermal growth on the substrate, and has been employed in various ways in IC fabrication due to its familiarity, versatility and reliability [2]. Low-pressure chemical vapor deposition (LPCVD), and plasma-enhanced chemi-cal vapor deposition (PECVD) are the major CVD methods nowadays. While LPCVD is operated between 600–800C, PECVD is typically applied at 400C and lower temperatures [2].

Metal interconnects are introduced at some stage in the CMOS fabrica-tion process (the so-called “back-end of line”). Thermal stability demands that the inter-metal dielectrics (often silicon dioxides) are deposited with a max-imum temperature of about 400C [3], and PECVD allows just that. Rather than relying solely on thermal energy to initiate and sustain chemical reac-tions, PECVD uses an rf-induced glow discharge to transfer energy into the

(16)

reactant gases, allowing the substrate to remain at a lower temperature (i.e., 150–400C) than in LPCVD. Lower substrate temperature is the major advan-tage of PECVD, and in fact, PECVD provides a method of depositing films on substrates that do not have the thermal stability to accept coating by other methods.

Other depositing techniques are developed to form silicon-based films at low substrate temperatures: photon-induced CVD, catalytic CVD, (reactive) sputtering, jet vapor deposition, pulsed-laser deposition, (plasma assisted) atom-ic layer deposition, sol-gel techniques, and the like [4]. PECVD processes are often selected over competing techniques because they offer (one of) the fol-lowing advantages: (a) high purity films can be achieved; (b) great variety of compositions can be deposited; (c) some films cannot be deposited with ade-quate film properties by any other method; and (d) good economy and process control are possible for many films. That is why PECVD is nowadays one of the key sectors of the industrial production of silicon-based films, notably, CMOS, thin film transistors, display technology and solar cells [2].

However, the temperature reduction by PECVD has its price in a de-teriorated layer quality. Reactions are not completed (i.e. not all the reaction products can desorb from the film), and highly energetic plasma particles such as ions, electrons and photons bombard the surface of the wafer continuously. These bombardments can improve the properties of the film, but they can cause many types of damage to the growing film and to the underlying layers when they are too severe.

The term “layer quality” used above refers here to some of the electrical and physical characteristics of the silicon dioxide layers: leakage current, di-electric strength, fixed and mobile oxide charge, defect density at the interface with silicon, etch rate and index of refraction. The quality of thermally grown silicon dioxide layers act as the benchmark. Important properties of CVD and thermal silicon dioxide are summarized in Table 1.1.

The industrial sector stimulates research and development of the depo-sition processes and their physical chemistry. Enhancement of the layer quality, reduction of plasma induced damage and lower deposition temperatures are important issues. R&D efforts bear on many disciplines: reactor design, fluid mechanics, plasma physics and chemistry, gas-surface interactions to mention but a few. In this context, it must be noted that the engineering and opti-mization of reactors and deposition processes is much too costly and time-consuming to be left to the sole approach of trial and error, whereby various recipes are tested and then flow rates, temperatures and discharge currents adjusted while growth rates and film quality are being monitored. The

(17)

impor-1.1 Motivation and scientific challenges Table 1.1: Typical prop erties of CVD and thermal silicon dio xide units Ther mal a LPCVD b PECVD c Dielectric strength MV/cm 10 8 2–11 Midgap interface trap density (D it )1 0 10 eV 1cm 2 31 20 Fixed char ge (Q f )1 0 10 cm 2 20 d 3–30 Index of refraction at 632.8 nm (n) — 1.46 1.44–1.48 1.4–1.5 Etch rate (5 : 1 = NH 4 F : HF) nm/min 80 160–300 100–400 adr y-ox SiO 2 ; 1000 C; 1 h/N 2 anneal and 1h/H 2 –N 2 anneal at 400 C [1]. bTEOS-SiO 2 ; 730 C; 1 h/N 2 anneal [5]. cThe lar ge spread in data is summarized in [6]. dOne can find lo w er v alues, but the base v alue of the Deal Triangle [7] is presented here. Inherent to the ther mal Si–SiO 2 interface is that the ther mal SiO 2 gr owth passiv ates the Si surface states thr ough binding to oxy gen. Y et, the passiv ation is incomplete as an amount of nativ e S i dangling bond-type interface states remain.

(18)

tance of fully integrated PECVD simulators (Virtual Reactors) is recognized by various research groups and scientific software companies [8].

Modeling and simulation of the PECVD process is essentially a multidis-ciplinary endeavor and the state-of-the-art is far from satisfactory. Models used to obtain important plasma parameters are detailed and sophisticated but do still not accurately describe e.g. plasma non-uniformities and electron energy distribution functions (EEDFs) for every type of reactor and all process con-ditions [9–15]. Yet academic research laboratories would greatly benefit from these models. Indeed, it is precisely in these places that some of the key exper-tise is found. They have the competence needed to study the complex chemical reactions; they understand discharge mechanisms and can propose and test new precursors. The applied mathematics laboratories are now in a position to cooperate with them fruitfully and to allow them to accomplish great progress, by offering advanced open tools of simulation. The work presented in this the-sis was launched along this line.

The goal we set out to reach was to contribute to PECVD technology by showing its capability to fabricate high-quality silicon dioxide gate dielectrics. Our second objective was to contribute to a more exact understanding of PECVD technology by showing that an accurate description of plasma parameters and chemistry can assist researchers to find optimal process conditions. We defined a specific PECVD process — the deposition of silicon dioxide films from Ar– N2O–SiH4plasmas — to mention a few points that are of general interest. First,

we demonstrate that we can deposit high-quality silicon dioxide dielectrics. These sound layers were characterized quite extensively since they show fea-tures which are of direct importance for industry and academic communities. We also demonstrate that we forecast at which process conditions these sound films are formed. This forecast is based on modeling of chemical reactions in silane-containing plasmas, which again relies on the measurement of correct plasma parameters. We show that the use of an incorrect EEDF, which, at first glance, deviates only slightly from the actual distribution, can cause strong dis-agreements between the actual processes and the model. Virtual Reactor PECVD simulators can benefit from this knowledge by allowing experimental data of the plasma state into their code, while it might encourage physicists to work on more precise plasma models.

1.2

Background

This subchapter provides a short overview of gas discharges and PECVD pro-cesses to guide the reader through the topics treated in this thesis (plasma

(19)

1.2 Background

l

C

V plasma

Figure 1.1: Schematic drawing of a capacitively coupled rf plasma.

characterization, the related modeling as well as the characterization and ap-plication of the deposited layers).

1.2.1 Developments in plasma sources

Plasma is ionized gas consisting of a mixture of free electrons, ions and neutrals (depending on the degree of ionization) and it is formed when sufficient energy is dissipated in a gas [16]. More than 90% of matter in the universe exists in the plasma state [17, 18] though nature rarely produces plasma on the earth’s surface. In nature plasma is formed when gas is exposed to high temperatures (inside of stars) or high energy radiation (UV radiation and cosmic ray parti-cles in the ionosphere). Under laboratory conditions however, where such high energies are not easily available, ionized gas is generated using high electric field. This is known as cold plasma or electric discharge. Electrical discharge is the most practical means of creating and sustaining low temperature plasma in the laboratory and many methods of coupling electrical energy into gases to generate a plasma have been developed using both direct current (dc) as well as alternating current (ac) power sources.

The most commonly used plasma reactor of today is the capacitively coupled radio-frequency (rf) discharge. The reactor consists of two parallel

(20)

electrodes, separated at a distance l, see Figure 1.1. The substrate is placed on one of these electrodes. The most common rf frequency is 13.56 MHz, an allowed frequency which does not interfere with radio and television broad-casting bands. The gap between the electrodes is filled with a gas. At 13.56 MHz, the ions are not able to follow the electromagnetic field, whereas the electrons are. Therefore, the electromagnetic energy will be mainly absorbed by the electrons, which can ionize the neutrals. The ions are much slower than the electrons due to their mass. This results in a faster loss of negative charge to the electrodes. The resulting potential difference between the electrodes and the plasma creates an electric field region at the edge of the plasma. This region, the sheath, accelerates the ions and slows down the electrons, balancing the fluxes of positively and negatively charges particles to the electrodes. As a result, the ions will reach the electrodes, and therefore the substrate, with a high energy. Most of the surface chemistry occurs due to the high energy ion bombard-ment. A higher electron density results in a higher rate of electron-stimulated reactions in the gas phase. To produce more dense plasma, the electrode po-tential has to increase. Simultaneously the ion energy at the electrode surface increases, which can cause unwanted effects, such as film damage. The higher plasma density also increases radical interactions which gives rise to the for-mation of particles in the gas phase (gas-phase nucleation). These limitations cause a relatively narrow process window for many applications and have led to the development of remote plasma (RP) processes [19].

Here, the substrate is placed outside (remote from) the plasma genera-tion region and is separately biased from the electronic circuit that generates the plasma. Thus, separate rf sources are used to control both the plasma density and the ion bombarding energy. On top of that, plasma sources are developed which can operate at lower pressures but can induce higher plasma densities, i.e., high density remote plasma (HDRP) reactors [19]. The operational conditions and the resulting plasma parameters are shown in Table 1.2. They are compared with the values for the capacitively coupled plasma (CCP) sources.

Figure 1.2 shows some examples of high density remote plasma reactors. First, the electron cyclotron resonance (ECR) plasma reactor is shown [21]. Here, one or more permanent magnets surround the plasma chamber to create a dc, axially varying magnetic field. Microwave power is injected into the plasma through a dielectric window. This power is absorbed by the electrons, which can ionize the gas in the chamber. The helicon source uses a dc magnetic field in combination with an rf driven antenna [22]. The excited helicon wave interacts with the electrons in the chamber, resulting in a transfer of energy. The

(21)

1.2 Background

Table 1.2: Typical values for CCP and HDRP sources [1922].

parameter units CCP source HDRP source

Pressure p Pa 1–100 0.05–20 Applied power P W 50–2000 100–5000 Frequency f MHz 0.05–13.56 0–2450 Plasma density N m3 1015–1017 1015–1018 Electron temperature kTe eV 1–5 0.3–7 Ion energyεi eV 200–1000 20–500 Ionization degreeαiz — 106–103 104–101

The ETP setup consists of a high-pressure plasma source and a low-pressure chamber. The dc plasma source is a cascaded arc. In this plasma source reactive species are created that can be used for downstream precursor gas dissociation for plasma deposition. The plasma in the arc is thermal with an electron den-sity of∼ 1022m3and with an electron and heavy particle temperature of both

∼ 1 eV. The plasma emanates from the cascaded arc source through a nozzle

and expands into the deposition chamber which is typically at a pressure of 20 Pa. Due to the large difference in pressure between the arc and the cham-ber, the plasma expands subsonically. Eventually, the electron temperature is reduced to 0.3 eV and the electron density to∼ 1017–1019m3. The last source in Figure 1.2 is the inductively coupled plasma (ICP) source [21]. The cylindri-cal coil and the plasma together act as a transformer, where the plasma is the secondary, single turn, conductor. The power is inductively coupled through a dielectric window without using external magnets. This source is used in this thesis. Inductively coupled discharges are now the leading plasma sources for plasma processing because of the simplicity of concept, easy scalability, and no requirement of constant magnetic field, which is necessary in ECR and helicon discharges [19, 22].

1.2.2 PECVD

A typical PECVD reactor is a low-pressure plasma chamber of a few liters in volume, through which silane gas (SiH4), often diluted with other precursors

and argon, is pumped at a certain flow rate. A gas mixture enters the reactor at room temperature. A substrate for film deposition is located in the chamber. The substrate can be part of the electrical circuit that drives the discharge (direct PECVD) or is electrically separated from the electronic circuit that generates the plasma (remote PECVD). An rf oscillating voltage is applied to an electrode inside or outside the chamber. A number of intertwined processes occur in a

(22)

gas inlet RF bias

ECR

microwaves a)

HELICON

RF antenna b) d)

Inductive

RF c)

ETR

injection ring cascaded arc

(23)

1.3 Objectives of this research

PECVD reactor, which can be simplified by the following scheme:

1. A glow discharge initiates the ionization and dissociation processes by electron impact.

2. Gas phase reaction and transport of the reactive species by diffusion to the wafer surface.

3. Adsorption of reactive species on the wafer surface sites and the reaction of these species with surface atoms or with other adsorbed species to form a reaction product.

4. Surface diffusion of the adsorbed species and reaction products. Nuclei grow into islands and islands merge into a continuous thin film. 5. Desorption of the volatile reaction products and transport of byproducts

away from the growth region.

Ion bombardment aids processes 3, 4 and 5. The quality of the growing films depends, among others, on the percentage of different species contribut-ing to the growth. A deeper insight into this aspect of the deposition processes is another aspect of this thesis.

1.3

Objectives of this research

The aim is to deposit silicon dioxide layers with excellent quality at substrate temperatures of 150C in a remote ICPECVD reactor. A combination of theory and empirical approaches was used. Motivated by the important role of plas-mas in technology and the need for simulations to understand the associated complex processes, it was attempted to combine in one research plasma char-acterization, chemical modeling and the (electrical) properties of the deposited films. In this way, we hope to gain insight into the deposition processes, to con-tribute to the optimization of PECVD reactors, and to enable the fabrication of electronic devices at low substrate temperatures.

1.4

Outline of the thesis

This thesis is divided in two parts. Part I is devoted to the plasma state. The ICPECVD system is introduced and the plasma it produces is characterized with respect to the electron density and electron energy distribution function. These parameters were used to model the composition of Ar–N2O–SiH4

plas-mas. Attempts were made to predict the electrical and physical properties of deposited SiO2 films from the modeled plasma composition. The accuracy of

(24)

chosen such that minimal variations in total pressure (1–6 Pa) and initial silane concentration (0.08–0.8%) resulted in predicted maximum variations in plasma composition. Part II of this thesis is devoted to the deposition and characteriza-tion of these films. Correlacharacteriza-tions between predicted and measured properties are drawn. Besides that, the layers with the best dielectric properties are employed in thin film transistors to confirm the quality of the films.

(25)

PART I

(26)
(27)

2

Introduction

An overview is given of the relevant plasma physics and the various aspects of plasma analysis via Langmuir probe and optical emission measurements. The plasma reactor is introduced and characterized with respect to electron energy distribution functions (EEDF) and electron densities. These two parameters are of prime importance for the modeling and optimization of chemical and phys-ical processes in plasma reactors. An approach is developed to obtain EEDFs for plasmas with silane precursor for thin film deposition of silicon dioxide from Ar–N2O–SiH4mixtures. This approach allows for modeling of depositing

plasmas where experimental data are used as input parameters, thus mini-mizing modeling errors. The latter is essential for a better understanding and optimization of the deposition process. Promising results of this approach are demonstrated.

(28)
(29)

3

Aspects of plasma physics

An overview is given of the relevant plasma physics. Various plasma parame-ters are calculated and evaluated for an argon discharge at process conditions typical for HDRP sources (cf. Table 1.2).

3.1

General

A plasma is a quasi-neutral gas of neutrals, ions, and electrons which exhibits collective behavior [16, 23]. To classify the various plasma configurations, the ionization degreeαizis often used:

αiz= Ni

Ni+ Ng , (3.1)

where Ni and Ng are the ion and neutral gas densities in the plasma. This

quantity is unity for a fully ionized plasma (e.g. thermo nuclear plasmas [24]) and much smaller (in the order of 102 to 106) for weakly ionized plasmas. The low-pressure discharges created in standard reactors are all weakly ionized plasmas. The neutrals, ions and electrons all have their own energy distribution. Direct Monte Carlo simulation of electron kinetics showed that at pressures higher than 0.1 Torr (13 Pa) we can use a gas-dynamic approach for electron and ion description [14, 25]. Typical pressures in this research are somewhat lower (1–6 Pa) but for now we will use the same approach and discuss the validity later.

Because the energy exchange due to elastic collisions between neutrals and electrons and between ions and electrons is very inefficient due to the

(30)

large mass ratio, the electrons have a much higher average energy than the ions and neutrals. At sufficiently high electron-electron collision frequencies, the velocitiesυeof the electrons (with mass me) are distributed according to the

Maxwell–Boltzmann distribution function fυwith a characteristic temperature

Te[26]: fυ(υe) · dυe= 4 π  me 2kTe 3/2 v2eexp  −meυ2e 2kTe  · dυe . (3.2)

Here k is the Boltzmann constant and e is the electron charge. According to the relation above, Nefυ(υe) dυe represents the number of electrons having a

velocity in the intervale,υe+ dυe]. The average electron velocity is found by

integration: e =  0 υefυ(υe) dυe=  8kTe πme , (3.3)

and can be evaluated to measure 9.5· 105 m/s for a distribution with a charac-teristic temperature of 2 eV. The maximum of fυ(υe) is given by 12meυ2e = kTe.

The distribution function can also be expressed in terms of energy:

fE(Ee) · dEe=2 π  1 kTe  Ee kTe 1/2 exp  Ee kTe  · dEe. (3.4)

The maximum of the energy distribution is found at Ee = 12kTe. The average

energy is 32kTe. Typical electron temperatures in low-pressure plasmas vary

from 104 to 105 K. This corresponds to kinetic energies of 1–10 eV. These are much higher than the temperature of the neutrals (e.g. 300 K ≈ 0.026 eV) or ions (e.g. 500 K≈ 0.04 eV).

The random fluxΓ of particles in an arbitrary direction [27] is given by

Γe,i=14Ne,ie,i , (3.5)

with Ne,ithe density ande,i the average velocity of the electrons (e) and ions

(i). Since the average velocity of electrons is much larger than that of the ions, an electrically insulated object in the plasma will initially be bombarded with more electrons than ions. Therefore, the object will be charged negatively, until the resulting electric field is strong enough to balance the electron and ion fluxes. The region where electrons are repelled and ions are attracted is called “the sheath”. A sheath is present around every insulated object in contact with the

(31)

3.1 General

plasma, like walls and probes. The equilibrium potential of the object is called the floating potential, Vf. The definition of this potential is only meaningful if

it is compared with the plasma potential, Vp. When the object is at floating

potential, the ion and electron flux balance. The electron flux is smaller than the electron thermal flux, Ne14e, due to the retarding field, while the ion flux

is determined by the thermal flux at the edge of the sheath [28]:

1 4Nee exp  −e(Vp− Vf) kTe  = Ni14i , (3.6) resulting in Vp− Vf= kT2eeln  mi me Te Ti  . (3.7)

This relation can be refined when the Bohm sheath criterion is taken into account [29]. According to this criterion, ions have to be pre-accelerated up to an energy 12kTe before they reach the plasma-sheath boundary, in order to

maintain a positive space charge everywhere in the sheath [29]. This implies the existence of a presheath region with negligible space charge (Ni≈ Ne) but with

a potential drop of V= kTe/2e relative to the plasma. When Ne represents the

electron density in the bulk, the density Ne(d) at the plasma sheath boundary

is then given by the Boltzmann relation:

Ne(d) = Nee−V/kTe= Nee0.5≈ 0.6Ne. (3.8)

The ions accelerate in the presheath to the Bohm velocity,

υB=



kTe

mi , (3.9)

and because Ni(d) ≈ Ne(d), the ion flux is given by

Γi≈ 0.6NiυB. (3.10)

When the Bohm velocity is used on the right hand side of equation (3.6) instead of the thermal ion velocity, equation (3.7) alters in

Vp− Vf= kT2eeln  mi 2πme  . (3.11)

(32)

To investigate the influence of a small charged object (e.g. an ion) on a homogeneous plasma, the one-dimensional Poisson equation is used to calcu-late the space dependence of the potentialΦ(z) [29]:

d2Φ

dz2 = −

e(Ni− Ne)

ε0 , (3.12)

whereε0is the permittivity of vacuum.

According to Boltzmann, the electron density is given by

Ne(z) = Ne0exp  −eΦ(z) kTe  (3.13)

and the ion density is supposed to be constant:

Ni(z) = Ni0, (3.14)

where Ni0= Ne0= N0are the original ion and electron densities in the plasma.

Substituting this in the Poisson equation and assuming only small potential variations (eΦ(z) kTe): d2Φ(z) dz2 = eN0 ε0 eΦ(z) kTe . (3.15)

This has an exponentially decaying solution:

Φ(z) = Φ0exp



λz



, (3.16)

whereΦ0 is the initial potential of the undisturbed plasma and

λ= 

ε0kTe

e2N

0 ≡ λD, (3.17)

withπDas the Debye length. This length parameter is the typical distance over

which small potential variations stretch out over the plasma. The Debye length is 0.1 mm in a typical plasma with kTe = 2 eV and an electron density of 1016

m3. The Coulomb interaction between two particles (normally proportional to r−1), is actually screened by the other charged particles [30]. This is called “Debye shielding”, andλD “shielding distance”. For length scales l λD, the

(33)

3.1 General

When a disturbance in the plasma causes a small displacement ζ of the electrons with respect to the ions, the net space charge density on the edges is

eNeζ [29]. The resulting electric field is given by

E=eNeζ

ε0 . (3.18)

The response of the electrons is

med 2ζ dt2 = −eE , (3.19) or d2ζ dt2 = −ωpe2 ζ . (3.20)

This corresponds to an oscillatory motion with angular frequency ωpe

given by ωpe=  e2N e ε0me , (3.21)

which is called the electron plasma frequency. When the non-infinite ion mass

miis taken into account, the oscillation frequency changes to

ω=ω2 pe+ ω2pi, (3.22) with ωpi=  e2N i ε0mi (3.23)

the ion plasma frequency. In a low pressure argon plasma, with typical ion and electron densities of 1016m3, the electron and ion plasma frequencies are 900 MHz and 3.31 MHz, respectively. This shows that the electrons can easily follow the typical electric field of 13.56 MHz, whereas ions cannot.

For charged particles in a plasma, the momentum balance equation can be reduced to the so-called drift-diffusion equation [29, 31]:

Γe,i= ±μe,iNe,iE− De,i∇Ne,i, (3.24)

where the plus or minus sign in the drift term depends on the charge of the par-ticle, E is the local electric field, andμe,iand De,iare the macroscopic mobility

and diffusion constants of the electrons or ions, respectively. The mobility,

μ= |q|

(34)

depends on the electric charge q and the momentum transfer collision fre-quency vm. The diffusion coefficient D can be related to the particle

temper-ature through the Einstein relation:

D

μ =

kT

e . (3.26)

In a stationary plasma, besides the densities, also the fluxes of ions and electrons must be equal. Evaluating the drift-diffusion equation results in

Γ= −μeDi+ μiDe

μi+ μe ∇N = −Da∇N , (3.27)

with Dathe ambipolar diffusion coefficient. In the plasma treated here,μe μi,

so using equations (3.26) and (3.27):

Da≈ Di  1+Te Ti  ≈ μikTe e . (3.28)

This indicates that the ambipolar diffusion coefficient is in first approximation determined by the mobility of the ions and the temperature of the electrons.

3.2

Particle collisions

Collisions between particles are a means of exchanging energy until the volume of gas attains a steady mean energy. When the particles are atoms or molecules, the collision is visualized as that between hard billiard balls; the total kinetic energy is conserved and the trajectory of each particle will usually be different before and after collision. Such collisions are called elastic collisions. However, a collision between particles may also result in a change of the internal energy of one or both particles and such collisions are called inelastic collisions. Examples of these are dissociation, excitation, ionization, and recombination.

Successful theoretical analysis of discharges depends on detailed knowl-edge of the energy exchange mechanisms and the onset energies of each inelas-tic collision. We shall consider parinelas-ticle collisions briefly in the following section. The treatise of Lieberman and Lichtenberg [29] will be followed here.

When a beam of particles with velocityυ and density N interacts with a group of particles in rest with density Ng, only a fraction of the incoming

particles will collide. The initial fluxΓ= Nυ changes with dΓ over a distance dx according to

(35)

3.2 Particle collisions

The proportionality constantσ is the cross section, which is determined by the collision process. When σ is independent on particle velocity, equation (3.29) can be solved: Γ= Γ0exp  x λm  , (3.30)

whereΓ0is the initial (uncollided) flux at x= 0; the mean free path λmis given

by

λm= 1

Ngσ . (3.31)

Often the mean time between collisionsτ is used:

τ=λm

υ , (3.32)

or the inverse:

ν= 1

τ= Ngσυ , (3.33)

which is the collision frequency. When this frequency is expressed per unit of particle density, the rate constant k is obtained:

k= ν

Ng = συ . (3.34)

The cross section is often a function of velocity for inelastic collisions. Then equation (3.34) must be replaced with the integration over the velocity distribution function fυ(υ):

k=



σ(υ)υ fυ(υ) dυ . (3.35)

The cross sections of elastic collisions are almost independent of the velocity and related to the radii of the particles. Two particles, having radius r, collide with each other if their centers come within a distance of 2r. In principle, the cross section of elastic collision,σel, is then defined as 4πr2 (m2) [32]. Since

the radius of an argon atom is 1.8· 10−10 m, σel is approximately equal to

4· 10−19m2for elastic argon–argon collisions, fairly close to the measured value

ofσel = 5.6 · 10−19m2[33]. The cross section of elastic electron–argon collisions

is about 2.5· 10−19m2 [34]. At a typical pressure range of 1–10 Pa and 300 K,

the number of molecules in a gas is 2.4· 1020–2.4· 1021 m3, so the mean free

path of argon atoms is 0.7–7 mm and of electrons 1.7–17 mm in between elastic collisions (equation (3.31)).

(36)

3.3

Evaluation of criteria and domains

It is now possible to show that our standard argon discharge at typical HDRP conditions (cf. Table 1.2) fulfills the basic requirements of quasi-neutrality. One can also study electron production processes and the various loss processes on forehand. An evaluation of these processes relies on a calculation of the characteristic electron temperature as a function of pressure, which is given in this section. It will be shown that recombination processes within the plasma volume can be ignored at typical process conditions. Thus, particles generated in the plasma will eventually reach the walls and the substrate and transfer their energies to them. An impression of the order of magnitude of the effects involved will be given in section 3.4 and will later be used in section 4.1.6.

An essential condition to achieve quasi-neutrality is that the dimensions of the plasma (or reactor) are much larger than the shielding distance (see below equation (3.17)), that is

l λD , (3.36)

where l is the smallest dimension of the system. This criterion is easily obeyed since we calculatedλDto be 0.1 mm (eq. (3.17)), and therefore Ne= Niand one

speaks of plasma density, dispensing with the electron and ion densities. How-ever, a velocity distribution function was used (equation (3.13)), and because of that enough charged particles should be present in this shielding distance or it would not have been a statistically sound concept. The crucial parameter here is the number of particles in a Debye sphere ND. This number must be larger

than unity,

ND=43πNiλ3D 1 . (3.37)

NDamounts to 4· 105with a plasma density Niof 1016m3.

The fact that charged particles behave collectively [16, 35] means that plasmas can support a wide variety of wave motions and oscillations. We no-ticed earlier that one such basic oscillation, the electron plasma frequency,ωpe,

arose if a group of electrons was slightly displaced with respect to the ions un-der influence of electromagnetic forces. Electromagnetic interactions dominate over the processes of ordinary gas kinetics when the electron plasma frequency (plasma oscillations of electrons) is high compared to the electron-neutral colli-sion frequency (i.e., frequency of collicolli-sions between electrons and neutral parti-cles). The elastic electron-neutral collision frequency,νe, can be evaluated to be

57 MHz for a typical plasma with a characteristic electron temperature of 2 eV (υe = 9.5 · 105 m/s, see equation (3.3)), and a pressure of 1 Pa (Ng = 2.4 · 1020

(37)

3.3 Evaluation of criteria and domains

m3 and σel = 2.5 · 10−19m2, see equation (3.35)). This value is indeed much

lower than the electron plasma frequency, 900 MHz, which was calculated for the same conditions (equation (3.21)). At 10 Pa, however, the electron–neutral collision frequency approaches the plasma frequency. This means a loss of for-ward momentum during an electron oscillation and hence the momentum bal-ance (equation (3.27)) is influenced. Furthermore, electrons will lose more en-ergy per time interval due to the larger number of elastic collisions.

This decrease in electron temperature at higher pressures can also be calculated from an evaluation of the ion-electron production and loss processes [36, 37]. We will assume a characteristic electron temperature of kTe = 2 eV

and Ne = Ni = 1016 m3 at a pressure of 1 Pa, and will calculate the electron

temperature at 10 Pa.

The total production rate S (s−1) of ions and electrons is

S= kizNgNeV , (3.38)

with kizthe ionization rate constant, Ngthe gas density, Nethe electron density

and V the volume of the plasma.

The loss mechanisms of charge particles are ambipolar flux to the walls and recombination. The rate constant for radiative recombination:

Ar++ e−→ Ar + hν ,

krec, is 1019 m3s1 (for an electron temperature of 2 eV [38]). The radiative

recombination rate for our typical ion density of 1016 m3 and a volume of 68

liters is Rrec= krecNe2V= 1012s1.

The rate constant for three-body recombination: Ar++ 2e−→ Ar + e−

is in the order of 1024 m3s1 (for kT

e = 2 eV and Ne = 1016 m3) and can be

neglected [29]. The ambipolar diffusion is typically determined by the flux of charged particles to the walls, having the Bohm velocity (equation (3.9)). The ambipolar loss rate is given by

Ramb=0.6NeυBA , (3.39)

where A is the reactor wall surface area. For υB = 2.2 · 103 ms1, a plasma

density of 1016m3, and a wall surface of 1 m2, Ramb= 2 · 1019s1. Therefore,

the contribution of recombination to the total loss of charged particles can be neglected.

(38)

The loss equals the production in steady state, so after combining equa-tions (3.38) and (3.39): kiz vB = 0.6A NgV , (3.40)

The left-hand side of equation (3.40) depends only on electron tempera-ture, whereas the right-hand side depends only on gas pressure. Therefore, the electron temperature can be solved as a function of pressure when kizis

calcu-lated by integration of the total ionization cross section [32] over the velocity distribution function (equation (3.35)), and equation (3.9) is used for υB. If a

Maxwellian distribution with a characteristic electron temperature of 2 eV is assumed at a pressure of 1 Pa, one obtains an electron temperature of 1.6 eV at 10 Pa, using equation (3.40). Other authors also found this relation of electron temperature with total pressure [39].

3.4

Energy transfer

The energy dissipation in the plasma reactor is estimated in this section. It is assumed that all absorbed electromagnetic power is transferred to the elec-trons, which is reasonable, because of the high mass of the ions (see equation (3.23)). Particles generated in the plasma will eventually reach the walls and the substrate and transfer their energies to them. An impression of the order of magnitude of the effects involved will be obtained by considering a standard argon discharge. The global model of Lieberman and Gottscho [22] is extended to do so.

The energy of the electrons is lost by several processes:

1. Elastic collisions with atoms: The transferred energy per elastic collision is given by [32] Eel = 2mArme (me+ mAr)2 3 2kTe= 2me mAr 3 2kTe, (3.41)

where mAris the argon mass. The corresponding energy loss per second

is given by

Pel = NeNgVkel3mm e

ArkTe , (3.42)

(39)

3.4 Energy transfer

2. Excitation: the energy loss Eexc is at least the difference between the

ground state and the first (4s) excited state of argon (11.56 eV), and the corresponding energy loss per second is given by

Pexc= NeNgVkexcEexc. (3.43)

3. Ionization: the energy loss Eizis at least the ionization energy of 15.8 eV,

and hence:

Piz= NeNgVkizEiz. (3.44)

The appropriate kexc and kiz can be calculated by integrating the

cor-responding total excitation and ionization cross sections [32] over the Maxwellian electron energy distribution function (equation (3.35)), while

kel can be obtained from equation (3.34). Recombination is neglected as

argued before.

4. Kinetic loss from ions and electrons escaping to the wall. When an ion is lost to a floating wall, its kinetic energy is determined by the differ-ence between the plasma and floating potential, see equation (3.11), and by the acceleration in the presheath to 12kTe, and measures: αkTe; with

α= 4.7 + 0.5 = 5.2 for argon. Ions are also neutralized upon collision with walls and hence lose at least their ionization energy of 15.8 eV [40]. A neutralization probability of one is assumed. The electrons lose en-ergies of 2kTe when they are absorbed by the wall [29]. This value can

be obtained by integration of the Maxwellian distribution. This value is higher than the average energy, because only the fast electrons are lost and the slow electrons reflect in the sheath. The energy loss to the walls by ions and electrons is given by

Pwall,ions= 0.6NiυBA(αkTe+ Eiz) , (3.45)

Pwall,electrons= 0.6NeυBA2kTe. (3.46)

Two other mechanisms of energy dissipation are considered:

5. Relaxation of excited argon atoms by wall collisions [41]. The energy loss is at least 11.56 eV and is determined by the thermal flux to the wall, hence

Pwall,Ar =14NAr∗υAr∗AEexc, (3.47)

where NAr is the density of excited argon atoms, and wherein the

av-erage thermal velocity,Ar∗, is determined by equation (3.3). Again, a

(40)

6. Radiative relaxation. Excited argon atoms will spontaneously return to the ground state in one or several transitions. Each transition is accom-panied by the emission of a photon of a specific energy, equal to the energy difference between the quantum levels. Unfortunately, the calcu-lation of absolute photon fluxes in plasmas is a complicated task [42,43], and there are virtually no measurements of absolute photon fluxes in high-density plasmas available [22]. On the other hand, relaxation is just the inverse of excitation, and therefore their powers should equal:

Pexc= Prelaxation. (3.48)

Several relaxation processes can occur: radiative relaxation, Prad,

relax-ation at the reactor walls, Pwall,Ar (described above), and relaxation of

metastable argon atoms, Pmetastable. Inserting these processes in equation

(3.48) yields:

Pexc= Prad+ Pwall,Ar∗+ Pmetastable. (3.49)

The latter two processes are nonradiative [44–46]. The power for nonra-diative relaxation of metastable argon atoms is roughly one fifth of the power for radiative relaxation, based on the ratio of the cross sections for the formation of metastables to the total excitation cross section [32]. Other sources of radiation in a plasma include radiative recombination and radiative transitions between different Ar+levels. However, the rate constant for radiative recombination was calculated above to be insignif-icant. Radiative transitions between Ar+ levels do appear but densities and hence peak intensities are typically much lower than intensities of excited argon levels [47–49]. Thus, radiative relaxation is the main source of radiation, and Pradcan be calculated from equation (3.49).

The various energy losses are presented in Table 3.1 for two electron densities at a argon pressure of 1 Pa and a characteristic temperature of 2 eV, and for a pressure of 10 Pa and kTe = 1.6 eV (see equation (3.40)). One can

observe from Table 3.1 that most of the absorbed energy is lost to the walls. One can observe also that 3–7% of the energy is transferred into radiation. The calculated values were confirmed by optical emission measurements in the spectral range of 250–1100 nm (see section 4.3.5 for details. For example, while

Pradwas calculated to measure 7 W (at 1 Pa, Ne= 1 · 1016m3, kTe= 2 eV), the

(41)

3.4 Energy transfer

Table 3.1: Energy losses of several typical argon plasmas.

V=68l, A=1m2,th,Ar∗ =400m/s (eq. (3.3)); at 1 Pa: Ng=2.4·1020m−3, kel=2.4·10−13m3s−1 (eq. (3.34)), kiz=1.4·10−17 m3s−1 [32], kexc=6.4·10−17 m3s−1 [32]; at 10 Pa: Ng=2.4·1021 m−3, kel=2.1·10−13 m3s−1 (eq. (3.34)), kiz=1.2·10−18 m3s−1 [32], kexc=8.5·10−18 m3s−1 [32]. process conditions: p (Pa) 1 10 Ne (m3) 1016 1016 kTe (eV) 2.0 1.6 calculated values: Pel (W) 0.5 3.5 Pexc (W) 19 26 Piz (W) 6 5 Pwall,ions (W) 55 44 Pwall,electrons (W) 8 6 Pwall,Ar (W) 8 13 Prad (W) 7 8 Ptotal (W) 103.5 105.5

(42)
(43)

4

Experimental equipment

4.1

ICPECVD system

Figure 4.1 schematically shows the system set-up. The inductively coupled plasma source (supplied by Alcatel Micro Machining Systems; 13.56 MHz, max. electric power of 2 kW) is placed on top of the chamber (also supplied by Al-catel and commercially available as an Adixen “I-Speeder” AMS 200). Quoted electric powers are measured at the output of the rf generator (RF power prod-ucts, model FR20S), and are not corrected for losses.

The wafer is placed in the load lock on a molybdenum susceptor. After a pump-down cycle, the wafer is transferred into the chamber by an auto-mated arm, and positioned on the chuck. The chuck is situated at the bottom of this chamber. The chuck can be heated up to 400C, but the targeted depo-sition temperatures should not exceed 150C. The temperature is controlled by a PID (proportional-integral-derivative) controller via a thermo-couple inserted in the chuck. The wafer is mechanically clamped to the chuck. Argon backing pressure of 1 kPa (10 mbar) between both the susceptor and the chuck, and be-tween the wafer and the susceptor, guarantees a good heat transfer bebe-tween the chuck and the wafer [50, 51], see section 4.1.6 for more details. The chuck can be rf-biased (ENI 13.56 MHz rf generator ACG-3b) and can be moved upwards into the chamber, i.e., towards the plasma source. Furthermore, in Figure 4.1, two gas inlets are shown: one above the plasma source and one below, in the chamber. An extensive gas distribution system (not shown in the figure) will supply gases to the deposition system, optionally in a pulsed mode. Mass flow controllers (Bronkhorst High-Tech B.V.) are used to control the gas flows. The

(44)

1

2

3

4 5

6

7

8

8

10

11

0 mm 300 mm

9

Figure 4.1: A schematic drawing of the remote-plasma CVD system: (1) ICP source, (2) chamber, (3) dc coil to introduce axial magnetic eld, (4) chuck and susceptor, (5) wafer, (6) motor-driven arm, (7) rf-source for chuck biasing, (8) gas-inlets, (9) gas-inlet to control pressure between wafer, susceptor and chuck, (10) port to intro-duce Langmuir probe, (11) quartz viewport; the gas distribution system, load lock and vacuum pumps are not shown.

(45)

4.1 ICPECVD system

system is evacuated with an oil-free turbo molecular pump (Adixen ATH 1300 M, effective argon pumping speed 800 l/min) backed by a dry pump (Adixen ACP 40 G); base pressure is 5· 10−5Pa (5· 10−7mbar) or lower. A set of Pfeiffer capacitance gauges are used to accurately measure the process pressures (Pfeif-fer CMR 261 and CMR 263), whereas a combined cold wall and Pirani gauge (Pfeiffer PKR 261) measures from base pressure to atmospheric pressure.

Although the base pressure is 5· 10−5 Pa, the pressure in the chamber rises to 8· 10−2Pa as soon as the backing pressure between the wafer and chuck is set. This corresponds to an argon gas leakage into the chamber of 5 sccm, as has been obtained by pressure measurements as a function of calibrated gas flows.

To measure the volume of the chamber, the chamber was evacuated and the throttle valve between the chamber and the pump was closed. At time

t1 = 0 and pressure p1 a known flow of argon gas (20–50 sccm, equivalent

to 0.254–0.635 Torr · l · s−1) was allowed into the chamber and the resulting pressure p2 was noted at time t2. The volume of the chamber was calculated

from quotient of the measuredΔt = t2− t1 and Δp = p2− p1, multiplied by

the gas flow. The volume thus obtained measured 68 liters.

4.1.1 The variable axial magnetic field

The ICP-source is also equipped with an external dc coil (item (3) in Figure 4.1, see also Figure 4.2 for a more detailed view) which can induce an axial magnetic field B. Combination with the radial electric field Erfof the ICP-source will then

cause an oscillatory azimuthal motion of the plasma electrons (the well-known

E× B drift). Due to the Lorentz force, the length of the electron trajectory is

increased, which results in more collisions and better ionization and power absorption efficiency [33]. Increases of electron densities by a factor of ten or more have been reported [52, 53]. Since the ions do not move appreciably on the time scale of the rf period, they do not exhibit this azimuthal motion. The maximum magnetic flux density that can be applied (by setting the current through the coil) is 9.5μT.

4.1.2 The magnetic multipole wall

The use of permanent magnets to confine the charged particles in a plasma has found a variety of applications [54, 55]. The principle idea is that a local magnetic field, parallel and close to the plasma boundary, will reflect charge particles moving outwards. Such fields can be generated by placing permanent magnets with their north and south poles in alternating order along the dis-charge confining walls, see Figure 4.3. Such arrangements are generally called

(46)

I B

insulator

Figure 4.2: dc coil to introduce axial magnetic eld

cusp shaped or multipole fields. Different field configurations have been em-ployed, like checkerboard and line cusp geometries [56]. The improvement of density and homogeneity of plasma by this technique are well established [57]. The latter was in fact the reason why these magnets were mounted to the reac-tor chamber by Alcatel [58]. The outer chamber wall has been equipped with a line cusp arrangement, consisting of 14 vertical rows of magnets parallel to the vertical chamber axis.

4.1.3 Impedance matching networks

If the antenna were directly connected to the 13.56 MHz rf power source, the power transfer from the source to antenna would be inefficient because of impedance mismatch [59, 60]. Preferably, the plasma has to absorb all of the power transmitted from the source. To achieve this, the source impedance must be equal to the conjugate of the (complex) plasma load impedance. The impedance of an antenna is sensitive to plasma changes and always becomes complex [60]. To eliminate the imaginary part of the plasma load, to obtain the characteristic impedance of 50Ω, the matching network should have an out-put impedance which equals the conjugate of the antenna impedance. Tunable capacitors can re-tune the circuit’s impedance towards or to the characteris-tic impedance. Two commercially available automated matching networks are used in this set-up (an ENI MWH-5-01 between the chuck bias source and an-tenna, and a Sairem CBA PL/M between ICP source and antenna) comprised

(47)

4.1 ICPECVD system permanent magnets magnetic field lines 25.7° B

Figure 4.3: Permanent magnets mounted on the outside of the reactor chamber wall. of tuning units and a control unit. The tuning units contain the matching com-ponents (fixed inductor and variable capacitors), two dc motor servos, and rf sensors to provide feedback to the dc motor servos. The control unit provides controls for manual and remote operation of the tuning unit.

4.1.4 External oscillator

The frequencies of our rf power sources are controlled by quartz crystal tors operating at a resonance frequency of 13.56 MHz. Small-frequency oscilla-tions (a few hertz) could be observed in our plasma when both the ICP-source and the chuck bias were operated at the same time. This was caused by small differences in the resonance frequencies of the quartz crystal oscillators of the two rf power sources. This can be explained by the interference of the two elec-tromagnetic waves. Consider two elecelec-tromagnetic waves E1and E2propagating

outwards from the sources 1 and 2 and creating an oscillating electric field with amplitude E:

E(x, t) = E1(x, t) + E2(x, t) = E1sin(k1x− ω1t) + E2sin(k2x− ω2t) , (4.1)

where k1,2andω1,2are the wave numbers and angular frequencies of the waves

(48)

relation1 sinα+ sin β = 2 sin(α+2β) cos(α−2β) gives

E(x, t) = 2(E1+ E2) sin[12(k1+ k2)x −121+ ω2)t]

× cos[1

2(k1− k2)x −121− ω2)t]. (4.2)

When analyzing equation (4.2) in the frequency-domain, one can observe that using two sources with different frequencies results in an electric field with an angular frequencyω+= 121+ ω2), superimposed on an oscillation with a

frequencyω−= 121− ω2). This is sketched in Figure 4.4 for a (hypothetical)

situation where ω2 = 1.1 · ω1. A frequency difference of ≤ 10 Hz between

the two 13.56 MHz sources would be more typical for our situation (but not easy to show in a figure). Such frequency differences are much lower than the ion plasma frequency (equation (3.23)) and will therefore influence ambipolar diffusion, floating potentials, and the dc-bias on the wafer (10 Hz is considered dc here). One can synchronize both sources to avoid this.

1. Mathematicians consider this as a valid but otherwise truly obscure product–sum re-lation and it could just as well be forgotten. . . until needed by us! (According to David E. Joyce, Professor of Mathematics and Computer Science, Clark University, on http://www.clarku.edu/˜djoyce/trig/identities.html.)

(49)

4.1 ICPECVD system

An external master oscillator was used to synchronize both sources (Hütt-inger Elektronik MOP2/13). This oscillator has the additional advantage that the phase of one of the two sources can be controlled as well. Two electro-magnetic waves E1and E2with one angular frequencyω0 create an oscillating

electric field with amplitude E:

E(x, t) = E1(x, t) + E2(x, t) = E1sin(k0x− ω0t) + E2sin(k0x− ω0t+ Φ) ,

(4.3) whereΦ is the phase constant as set by the phase shifter of the master oscillator. Applying the trigonometric relation used in equation (4.2) also to equation (4.3) gives

E(x, t) = 2(E1+ E2) sin(k0x− ω0t+12Φ) cos(12Φ) . (4.4)

Equation (4.4) maximizes whenΦ= 0, and minimizes for Φ = 180◦.

4.1.5 Typical plasma operation conditions

Argon was used as a carrier gas, and the process pressure ranged from 1 to 20 Pa, while the argon flow was between 100–500 sccm. The process pressure was controlled by a feedback loop to the throttle valve. Flows of 10 to 100 sccm of precursor gases, such as nitrogen (N2), nitrous oxide (N2O), and SiH4 or

Ar–SiH4 (2% silane (SiH4) in argon), were added to the argon carrier gas to

study their effect on the electron energy distribution and electron density of the plasma. The Ar–SiH4–N2O mixtures were used to deposit silicon oxide.

The electric power supplied to the plasma source (i.e. rf-coil) was between 100 and 1000 W, but typically 300 W at deposition conditions.

4.1.6 Wafer temperature control

Deposition processes are a careful balance of absorption, desorption, surface migration, and chemical reactions. A change of wafer temperature can disturb this balance. It is therefore important to analyze by which mechanisms wafers gain and lose energy during plasma processing. Moreover, since the thermo-couple measures the temperature of the chuck and not that of the wafer directly, it is important to estimate to what extent the measured temperature represents the actual wafer temperature. Let us consider a typical process scheme to in-troduce this issue. As stated earlier, argon-backing pressure of 1 kPa should transfer heat from the heated chuck to the (initially cold) wafer. The wafer reaches an equilibrium temperature Tw with its surroundings after a certain

Referenties

GERELATEERDE DOCUMENTEN

Naast monitoring die erop gericht is te beoordelen of milieudoelen zijn gehaald, kunnen boeren mogelijk zelf aan de hand van eenvoudige ecologische indicatoren waarnemen wat de

Bepaling van de incrementele stijfheid in het gebied van lage snijsnelheden en kleine aanzetten door middel van statische beitelkrachten, gemeten met een stijve beitelkrachtmeter

Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of

Die punte wat ondersoek word, is: 1 die solidariteit van die Kaapse kerk met die Boererepublieke en die maniere waarop aan hierdie solidariteit uiting gegee is; 2 die bestryding van

Publisher’s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please check the document version of this publication:.. • A submitted manuscript is

Uit bijkomend onderzoek bleek dat er zich in de noordwestelijke hoek van de beerput nog een andere postmiddeleeuwse stortkoker bevond. Opmerkelijk was de vaststelling dat men het

a) To compare the average cell viability determined by morphology and morphometry measurements, to establish whether morphology provides biased results. b) To evaluate

Voor de huidige ondernemers en stakeholders in de landbouw is er niet meer één hoofdweg naar de toekomst, maar zijn er vele opties op weg naar een meer duurzame toekomst..