• No results found

VLSI implementation of digital filters

N/A
N/A
Protected

Academic year: 2021

Share "VLSI implementation of digital filters"

Copied!
175
0
0

Bezig met laden.... (Bekijk nu de volledige tekst)

Hele tekst

(1)

V L S I IM P L E M E N T A T IO N O F D IG IT A L F IL T E R S

by S R E E N IV A S A C H A R S U N D E R B .E ., 1985 a n d M .E ., 1987 A n n a U niv ersity , M a d ra s, In d ia A D IS S E R T A T IO N S U B M IT T E D IN P A R T IA L F U L F IL M E N T O F T H E R E Q U IR E M E N T S F O R T H E D E G R E E O F D O C T O R O F P H IL O S O P H Y

A

( J C L

P

T L \.) in th e D e p a rtm e n t of

A C U L fV t ),r <d</)IDUA !'L S T U D T S E le c tric a l a n d C o m p u te r E n g in e e rin g

______________________ W e a c c e p t th is d is s e rta tio n as co n fo rm in g

Dt an to the required standard DATE.

D r. A. A n to n .o u , S u p e rv iso r, D e p t, o f E le c t. &; C o m p . E ng.

D r. F . Sfl-G uibaly, C o -S u p erv iso r, D e p t, of E le c t. & C o m p . E n g .

Dr. N. J . D im o p o a lo s, D e p a r tm e n t M e m b e r, D e p t, o f E le c t, h C o m p . E ng.

D r. R . V a h ld iec k , G r a d u a te A d v iso r, D e p t, o f E le c t. & C o m p . E n g .

D r. I). M . M ille r, O u ts id e M e m b e r, D e p t, o f C o m p u te r S cien ce

D r. M . A. S id -A h m e d , E x te r n a l E x a m in e r, U n iv e rs ity o f W in d s o r

© S R E E N IV A S A C H A R S U N D E R , 1992 U N IV E R S IT Y O F V IC T O R IA

A l l rights reserved. T h is d is s e r ta tio n m a y n o t be reproduced in whole o r in part, by p h o to c o p y or o th e r m eans,

(2)

S u p erv iso rs: D r. A . A n to n io u a n d D r. F. E l-G u ib a ly

ABSTRACT

In th is th e sis we d e sc rib e a m e th o d of m a p p in g o n e -d im e n sio n a l a n d m u ltid im e n ­ sional filte r a lg o rith m s o n to sy sto lic a r c h ite c tu re s u sin g th e z - d o m a in a p p ro a c h . In th is a p p ro a c h th e filte r a lg o rith m is first tra n s f o rm e d in to its c o rre sp o n d in g d o m ain e q u iv a le n t a n d re c u rsiv e ex p re ssio n s s im ila r to single a s s ig n m e n t codes a re d e riv e d using H o rn e r’s ru le o r o th e r p o ly n o m ia l e v a lu a tio n te c h n iq u e s . B y o b ­ ta in in g d iffe re n t re c u rsiv e ex p re ssio n s, d iffe re n t sy sto lic s tr u c tu r e s ca n b e d e riv e d . T h e c h a ra c te ris tic s of th e s e s tr u c tu r e s ca n e a sily b e d e d u c e d fro m th e re c u rsiv e ex p re ssio n s. T h e m u ltid im e n s io n a l filters d e riv e d a re m o d u la r a n d h ie ra rc h ic a l, i.e ., th e th re e -d im e n s io n a l s tr u c tu r e s a re o b ta in e d fro m th e tw o -d im e n s io n a l ones w hich a r e in t u r n o b ta in e d from o n e -d im e n sio n a l s tr u c tu r e s .

In c o n sid e rin g t h e d esig n of a n y a rra y p ro c e sso r, it is i m p o r ta n t to co n sid e r th e d esig n o f th e p ro c e ssin g e le m e n ts involved. T h e m o s t i m p o r ta n t a n d d e m a n d ­ in g o p e r a tio n in th e s e e le m e n ts is th e m u ltip lic a tio n . F o u r d iffe re n t m u ltip lie rs a re d e sig n e d in w h ich th e n u m b e r o f o p e ra tio n s re q u ire d to p ro d u c e t h e d e sire d re s u lt is re d u c e d . T h e re d u c e d n u m b e r of o p e r a tio n s a lo n g w ith t h e a d v a n ta g e s o f v ery -la rg e -sc a le in te g ra tio n tech n o lo g y in te r m s of in c re a se d d e v ic e d e n s ity a n d fa s te r sw itc h in g m a k e th e s e m u ltip lie rs p o te n tia l c a n d id a te s in h ig h -s p e e d sig n al p ro c e ssin g a p p lic a tio n s . T h e first m u ltip lie r is an a re a -c rfic ie n t m u ltip lie r t h a t uses a p p r o x im a te ly 50% o f th e a r e a of a fu ll p a ra lle l m u ltip lie r. In th is m u lti­ p lie r o n ly th e u n iis y ie ld in g th e m o s t sig n ific a n t p a r t o f t h e p r o d u c t a re u s e d . In a d d itio n , a c o rre c tio n un:c is in c o rp o r a te d to m in im iz e t h e e r ro r re s u ltin g fro m c irc u m v e n tin g th e u se of u n its y ie ld in g th e le a s t sig n ific a n t p a r t o f th e p r o d u c t. T h e seco n d m u ltip lie r is b a se d on th e m o d ifie d o c ta l B o o th a lg o rith m in w hich fo u r-b it se g m e n ts o f th e m u ltip lie r a re sc a n n e d a n d c o rre s p o n d in g o p e r a tio n s ef­ fected o n th e m u ltip lic a n d . T h e th i r d m u ltip lie r is a d im in ish e d -1 m u ltip lie r t h a t finds a p p lic a tio n in th e F e rm a t n u m b e r- th e o re tic tra n s f o rm . In th is m u ltip lie r th e

(3)

u se of a tr a n s la t o r is c irc u m v e n te d a n d a novel te c h n iq u e for tra n s la tio n is in c o r­ p o ra te d in th e m u ltip lie r s tr u c tu r e . T h e fo u rth m u ltip lie r is one t h a t p e rfo rm s an in n e r- p ro d u c t o p e r a tio n w ith o u t th e u se of an a c c u m u la to r th e re b y re s u ltin g in in c re a se d sp e e d a n d re d u c e d area.

F in a lly , we d iscu ss th e V L S I im p le m e n ta tio n s of th r e e of th e m u ltip lie rs m e n ­ tio n e d a b o v e , a s e c o n d -o rd e r d ig ita l filte r, a n d a sin g le p ro c e ssin g e le m e n t th a t ca n b e u s e d as a b a sic u n it in d e sig n in g o n e -d im e n sio n a l a n d m u ltid im e n sio n a l d ig ita l filte rs . S o m e a ss o c ia te d p ro b le m s in d ig ita l-filte r s tr u c tu r e s , viz., th e q u a n ­ tiz a tio n a n d overflow lim it-c y c le o sc illa tio n s, h a v e been ta k e n in to co n sid e ra tio n a n d w ays h av e b e e n su g g e ste d for th e ir e lim in a tio n .

E x a m in e rs : D r. A. A n to n io u , S u p e rv iso r, D e p t, o f E lec t. V, C o m p . E ng. D r. F . E l - G u i b j y , C o -S u p e rv iso r, D e p t, of E le c t, fe C o m p . E ng. D r. N . J . D im o p o u ld s, D e p a r tm e n t M e m b e r, D e p t, o f E le c t. &r C o m p . E ng. D r. R . V ahldie.ck, G r a d u a te A d v iso r, D e p t, of E le c t. L C o m p . E ng. D r. D. M . M ille r, O u ts id e M e m b e r, D e p t, of C o m p u te r Science D r. M . A . S id -A h m e d , E x te rn a l E x a m in e r, U n iv e rsity o f W in d so r

(4)

T a b le o f C o n te n ts

T it le P a g e i A b s tr a c t ii T ab le o f C o n te n ts iv L ist o f T a b les ix L ist o f F ig u res x A b b r e v ia tio n s x v A c k n o w le d g e m e n ts x v ii D e d ic a tio n x v iii 1 I n tr o d u c tio n 1 1.1 V L S I a rra y p ro c esso rs ... 2 1.2 S y sto lic a r r a y s ... 3 1.3 R ev iew of p re v io u s w o r k ... 4 1.3.1 M a p p in g m e th o d o lo g ie s ... 4 1.3.2 M u ltip lie r d e s i g n ... 8 1.3.3 D ig ita l-filte r i m p l e m e n t a t i o n ... 8 1.4 O u tlin e of t h e s i s ... 10

2 M a p p in g m e th o d o lo g y for o n e -d im e n sio n a l d ig ita l filte r s 13 2.1 I n t r o d u c t i o n ... 13

(5)

T A B L E O F C O N T E N T S

2.2 S ig n al flow g ra p h a p p r o a c h ... 2.3 O n e -d im e n sio n a l n o n re c u rsiv e d ig ita l f i l t e r s ... 2.4 ^ -d o m a in a p p r o a c h ... 2.5 O n e -d irn e n sio n a l re c u rsiv e d ig ita l f i l t e r s ... 2 6 E x a m p l e s ... 2.6.1 S e c o n d -o rd e r re c u rsiv e f i l t e r s ... 2.6 .2 D e c im a to rs a n d I n t e r p o l a t o r : ! ... 2.7 C o n c l u s i o n s ...

3 M a p p in g m e th o d o lo g y for m u ltid im e n s io n r 1 d ig ita l filters

3.1 I n t r o d u c t i o n ... 3.2 T w o -d im e n sio n a l re c u rs 5 vc- filters ... 3.3 T h re e -d im e n s io n a l re c u rsiv e f i l t e r s ... 3.4 C o n c l u s i o n s ...

4 D e s ig n o f efficien t m u ltip lie r s

4.1 I n t r o d u c t i o n ... 4.2 A re a-efficien t p a ra lle l m u l t i p l i e r ... 4.2.1 E rr o r a n a l y s i s ... 4 .2 .2 In c re a se of sp ee d in th e tr u n c a te d m u l t i p l i e r ... 4 .2 .3 R e d u c tio n o f a r e a in th e tr u n c a te d m u l ti p l i e r ... 4.2 .4 T w o ’s c o m p le m e n t m u l t i p l i c a t i o n ... 4 .2 .5 P ip e lin e d tr u n c a te d m u l t i p l i e r ... 4 .2 .6 A p p lic a tio n of th e t r u n c a te d m u ltip lie r in d ig ita l filters . . 4 2.7 Q u a si-se ria l tr u n c a te d m u l ti p l i e r ... 4.3 M u ltip lie r based on th e m o d ified o c ta l B o o th a lg o rith m . . . .

4 .3.1 T h e Q M B m u l t i p l i e r ... 4 .3 .2 T h e O M B m u l t i p l i e r ... 4 .3 .3 E x te n s io n to tw o ’s c o m p le m e n t m u l t i p l i c a t i o n ... 4.4 D im in is h e d -1 m u ltip lie r for F e rm a t n u m b e r t r a n s f o r m ... 4.4.1 D im in ish e d -1 m u ltip lie r u sin g th e p a ra lle l m u ltip lie r . . . .

v 13 Hi 25 30 36 36 41 15 46 16 17 51 58 59 50 60 63 69 71 71 73 76 80 82 82 87 91 92 93

(6)

4.4.2 R e sid u e r e d u c t i o n ... 96 4.4.3 C o m p a r i s o n ... 99 4.4.3.1 A r e a ... 99 4 .4.3.2 S p e e d ... 100 4.5 A c c m u la to r-m u ltip lie r ... 100 4.5.1 C o m p a riso n s ... 102 4.5.1.1 A r e a ... 102 4 .5 .1 .2 S p e e d ... 103 4.6 C o n c l u s i o n s ... . . . . 103

5 V L S I im p le m e n ta tio n o f m u ltip lie r s 105 5.1 I n t r o d u c t i o n ... 105

5.2 Im p le m e n ta tio n of th e tr u n c a te d m u l t i p l i e r ... 106

5.2.1 F e a t u r e s ... 106

5.2.2 Icon a n d blo ck d ia g ra m of th e tr u n c a te d m u ltip lie r . . . . 106

5.2.3 F u n c tio n a l d e s c rip tio n o f th e b lo ck d ia g ra m ... 107

5.2.-1 T im in g d i a g r a m ... 108 5.2.5 P h y sic a l c h a r a c t e r i s t i c s ... 109 5.2.6 L a y o u t of th e tr u n c a te d m u l ti p l i e r ... 109 5.3 Im p le m e n ta tio n of t h e O M B m u l t i p l i e r ... I l l 5.3.1 F e a t u r e s ... I l l 5.3.2 Icon a n d blo ck d ia g ra m of th e O M B m u l t i p l i e r ... I l l 5.3.3 F u n c tio n a l d e s c rip tio n o f th e b lo ck d ia g ra m ... 112

5.3.4 T im in g d i a g r a m ... 112

5.3.5 P h y sic a l c h a r a c t e r i s t i c s ... 112

5.3.6 L ay o u t of th e O M B m u l t i p l i e r ... 115

5.4 Im p le m e n ta tio n of a d im in is h e d -1 m u l t i p l i e r ... 115

5.4.1 F e a t u r e s ... 115

5.4.2 Icon a n d b lock d ia g ra m o f th e d im in ish ed -1 m u ltip lie r . . . 116

(7)

T A B L E O F ( O N T E N T S vii 5.4.4 T im in g d i a g r a m ... 117 5.4.5 P h y sic a l c h a r a c te r i s t i c s ... , 117 5.4.6 T e s tin g s t r a t e g y ... 119 5.4.7 L ayout of th e d im in is h e d- 1 m u l t i p l i e r ... 120 5.5 C o n c l u s i o n s ... 129 6 V L S I im p le m e n ta tio n o f filters 122 6.1 I n t r o d u c t i o n ... 122 6.*2 B a c k g r o u n d ... 122 6.3 I m p le m e n ta tio n of th e se c o n d -o rd e r d ig ita l f i l l e r ... 125 6.3.1 F e a t u r e s ... 125 6.3.2 Icon a n d block d ia g ra m o f th e f i l t e r ... 126

6.3.3 F u n c tio n a l d e s c rip tio n o f tiie b u ild in g b l o c k s ... 12N 6.3.4 T im in g d i a g r a m ... 135

6.3.5 P h y sic a l c h a r a c t e r i s t i c s ... 13X 6.3.6 T e stin g s t r a t e g y ... 13X 6.3.7 L ay o u t o f th e s e c o n d -o rd e r d ig ita l f i l t e r ... 131)

6.4 I m p le m e n ta tio n o f a sy sto lic P I C ... I ll 6.4.1 F e a t u r e s ... PH 6.4.2 Icon a n d block d ia g ra m o f th e systolic PIC . . . 141

6.4.3 F u n c tio n a l d e s c rip tio n o f th e block d i a g r a m ... 113

6.4.4 T im in g d i a g r a m ... ] (3

6.4.5 P h y sic a l c h a r a c t e r i s t i c s ... 144

6.4.6 T e stin g s t r a t e g y ... M5 6.4.7 L ay o u t of th e sy sto lic PIC ... 145

6.5 C o m p a r i s o n s ... 145

6 . 6 C o n c l u s i o n s ... j.pj 7 C o n c lu sio n s 1 4 7 7.1 C o n t r i b u t i o n ... 147

(8)
(9)

I N

L ist o f T a b les

4.1 T h e tr u t h ta b le of th e e n c o d e r alo n g w ith th e m a th e m a tic a l o p e r­ a tio n s effec te d by th e vario u s th re e -b it se q u e n c e s of th e m u ltip lie r. SI 4.2 T h e t r u th ta b le of th e e n c o d e r alo n g w ith th e m a th e m a tic a l o p e r

a tio n s effec te d by th e vario u s four-bit se q u e n c e s o f t h e m u ltip lie r. SS

5.1 C h ip s ta tis tic s of th e tr u n c a te d a n d p a ra lle l m u ltip lie r s ... 10!) 5.2 G a te -le v e l s ta tis tic s o f th e tr u n c a te d a n d p a ra lle l m u ltip lie rs . . . . 1 ]() 5.3 C h ip s ta tis tic s of th e O M B a n d Q M B m u ltip lie rs ... I l l 5.4 G a te -le v e l s ta tis tic s o f th e O M B a n d Q M B m u ltip lie r s ... I l l 5.5 C h ip s ta tis tic s of th e d im in is h e d -1 m u ltip lie r ... 1 IS 5.6 G a te -le v e l s ta tis tic s o f th e d im in ish ed -1 m u ltip lie r ... 11!)

6.1 C o n tro l sig n a ls for th e se c o n d -o rd e r d ig ita l filte r... 136 6.2 C h ip s ta tis tic s of th e se c o n d -o rd e r d ig ita l filte r... 13.S 6.3 G a te -le v e l s ta tis tic s of th e sec o n d -o rd e r d ig ita l filte r... 13!) 6.4 C h ip s ta tis tic s of th e sy sto lic P E ... I l l 6.5 G a te -le v e l s ta tis tic s of th e sy sto lic P E ... I l l

(10)

L ist o f F ig u res

2.1 D e p e n d e n c e g ra p h of (2 .3 )... 17 2.2 S ignal flow g ra p h of (2 .4 )... 18 2.4 M ap p in g of ih e signal flow g ra p h of (2 .3 ) o n to a s y sto lic array . (a)

T h e sy sto lic s tr u c tu r e , (b ) D e ta ils o f P E in v o lv e d ... 19 2.4 S ignal flow g ra p h of (2.7) in w inch filte r in p u ts a re b ro a d c a s t a n d

o u tp u ts a re p r o p a g a te d ... 20 2.7) M a p p in g of th e signal flow g ra p h of (2.4) o n to a s y sto lic a rra y (a)

T h e sy sto lic s tr u c tu r e , (b ) D e ta ils o f P E in v o lv e d ... 21 2.(5 S ignal flow g ra p h of (2.9) in w hich filte r in p u ts a n d o u tp u ts a r e

p r o p a g a te d ... 22 2.7 M a p p in g of (2.9) o n to a sy sto lic a r c h ite c tu re , (a ) T h e sy sto lic a rra y .

(b ) D e ta ils o f th e P E in v o lv e d ... 23 2.8 S ignal flow g ra p h of th e m o d ified fo rm of F ig . 2.6 sh o w in g re d i­

re c tio n o f th e filte r in p u ts a n d th e fo rm a tio n o f p a r tia l p ro d u c ts a t th e h y p e r p la n e s ... 24 2.9 S y sto lic re a liz a tio n of th e sig n al flow g ra p h o f F ig. 2 . 8 ... 25 2.10 S y sto lic re a liz a tio n of th e sig n al flow g ra p h of F ig . 2 .8 ... 26 2.11 M ap p in g o f (2.21) o n to a sy sto lic a r c h ite c tu r e , (a ) T h e sy sto lic

a rray , (b ) D e ta ils of P E in v o lv e d ... 32 2.12 M ap p in g o f (2.24) o n to a sy sto lic a r c h ite c tu r e , (a) T h e sy sto lic

(11)

L I S T O F F I G U R E S

2.13 M a p p in g of (2.26) a n d (2.27) o n to sy sto lic a r c h ite c tu re s , (a) T h e sy sto lic array , (b ) D e ta ils of ? E invo lv ed in m a p p in g (2.26). (c) D e­ ta ils of P E in v o lv ed in m a p p in g (2 .2 7 )... 2.14 M a p p in g of (2.30) o n to a sy sto lic a i- a y ... 2.15 M a p p in g of (2.31) onco a sy sto lic a rra y ... 2.16 (a ) M a p p in g of (2.32) o n to a sy sto lic a rra y , (b ) M a p p in g of (2.33)

o n to a sy sto lic a r ra y ... 2.17 M a p p in g o f (2.35) o n to a sy sto lic a rra y ... 2.18 S y sto lic s tr u c tu r e for a d e c im a to r. (a) T h e sy sto lic a rra y , (b) D e ­

ta ils of P E in v o lv e d

2.19 A n a lte r n a tiv e sy sto lic s tr u c tu r e for a d e c im a to r. (a) T h e sy sto lic a rray , (b ) D e ta ils of P E in v o lv e d ...

3.1 S y sto lic a r ra y for a 2-D re c u rsiv e filter u sin g S ch em e 1 for a w indow o f size 3 x 3 ... 3.2 S y sto lic a r ra y for a 2-D re c u rsiv e filte r u sin g S ch em e 2 for a w in d o w

o f size 3 x 3 ... 3.3 S y sto lic a r ra y tb ” a 2-D re c u rsiv e filte r u sin g S ch em e 3 for a w indow

o f size 3 x 3 ... 3.4 S y sto lic a r r a y for a 3-D re c u rsiv e filter u sin g sch e m e sim ila r to t h a t

o f S c h e m e 1 for a w indow of size 3 x 3 x 3 . (a ) T h e sy sto lic a rra y , (b ) D e ta ils of th e P E in v o lv e d ... ...

4.1 A n 8 x 8 m u ltip lic a tio n usin g p a ra lle l m u ltip lie r w h e re A , IIA a n d FA a re t h e A N D , h a lf-a d d e r a n d fu ll-a d d e r cells, re sp ectiv ely , (a ) M u ltip lie r blo ck d ia g ra m , (b ) D e ta ils of A H A cell, (c) D e ta ils of A FA c e ll... 4.2 R e p re s e n ta tio n of A , B , a n d P in te rm s of th e ir m o s t a n d le a s t

(12)

4.3 D e ta ils o f g e n e ra tio n of P . T h e p a r tia l re s u lts are p la c e d h o riz o n ­

ta lly a c c o rd in g to th e ir b in a ry w e ig h ts... 64

4.4 S ectio n s in th e p a ra lle l m u ltip lie r g e n e ra tin g th e fo u r te rm s « f P . T h e sh a d e d i >n re p re s e n ts th e cells t h a t g e n e ra te d is c a rd e d re ­ su lts d u e to tr u n c a t io n ... 65

4.5 A tr u n c a te d m u ltip lie r for an 8 x 8 b it m u ltip lic a tio n ... 66

4.6 V a ria tio n o f th e e x p e c te d value of t h e e rro r w ith N ... 69

4.7 V a ria tio n of th e s ta n d a r d d e v ia tio n o f th e e r r o r w ith N ... 70

4.8 N M M te c h n iq u e to in c re a se th e s p e e d of th e tr u n c a te d m u ltip lie r. 70 4.9 V a ria tio n o f th e m tio of th e a re a o f a tr u n c a te d m u ltip lie r to t h a t o f a full m u ltip lie r w ith N ... 72

4.10 A n 8 x 8 tw o ’s co m p le m e n t m u ltip lic a tio n u s in g p a ra lle l m u ltip lie r w h ere N I) is a NaN D g a te cell, (a) M u ltip lie r b lock d ia g ra m , (b ) D e ta ils o f N FA c e ll... 74

4.11 P ip e lin in g te c h n iq u e for t h e la s t row of fu ll-a d d e r cells. R: 1 -b it re g iste r, R IIA : h a lf a d d e r followed b y a 1-bit re g iste r, X : E x clu siv e-O R g a te , R X : E x clu siv e-e-O R g a te follow ed b y a 1-bit re g is te r. . . . 75

4.12 P a r tia l p ro d u c ts for a 4 X 4 m u ltip lic a tio n ... 76

4.13 A n 8 x 8 fu lly p ip e lin e d tr u n c a te d m u ltip lie r. S P 7 b it sh ift re g is­ te r , A S R : A se t of A N D g a te s follow ed by a r e g is te r ... 77

4.14 A low pass G IC w ave d ig ita l filte r... 78

1.15 V a ria tio n o f th e o u t p u t noise P S D o f a low p ass G IC w ave d ig ita l filte r u sin g s ta n d a r d a n d tr u n c a te d m u ltip lie r s ... 79

1.16 Q u a si-se ria l tr u n c a te d m u ltip lie r b lo ck d ia g r a m ... 81

1.17 A n 8 x 8 c o n v e n tio n a l Q M B m u ltip lie r. C S A : C a rry -sa v e a d d e r. . 84

4.18 O p e ra tio n o f an 8 x 8 c o n v e n tio n a l Q M B m u ltip lie r ... 85

4.19 A n 8 x 8 p a ra lle l Q M B m u ltip lie r... 86

4.20 A n 8 x 8 O M P m u ltip lie r ... 89

(13)

L I S T O F F I G U R E S xiii

4.22 A fa st 8 x 8 O M B m u ltip lie r ... 91

4.23 A m o d ifie d 4 x 4 p a ra lle l m u ltip lie r t h a t y ield s a 9 -b it p ro d u c t , (a) M u ltip lie r b lo c k d ia g ra m , (b) D e ta ils of A F A l cell, (o ' D e ta ils of A FA 2 cell, (d ) D e ta ils o f A H A c e ll... 95

4.24 O u tp u t c o n tro lle r ... 96

4.25 N e g a to r... 97

4.26 B lock d ia g ra m o f a m o d ifie d 4 x 4 d im in is h e d -1 o ip e lin e d m u ltip lie r. A ll th e R a re 4 -b it re g is te rs e x c e p t th e o n es b efo re a n d a fte r th e n e g a to r w h ich a r e 5 -b it re g iste rs. D is a 1 -b it flip-flop... 98

4.27 A n 8 x 8 a c c u m u la te -m u ltip lie r ... 101

5.1 Icon for th e tr u n c a te d m u ltip lie r ... 106

5.2 B lo ck d ia g ra m fo r th e tr u n c a t e d m u ltip lie r ... 107

5.3 S c h e m a tic d ia g ra m of th e c o rre c tio n u n i t ... 108

5.4 T im in g d ia g ra m for th e tr u n c a te d m u ltip lie r ... 109

5.5 P h o to m ic r o g ra p h of th e 16 x 16 tr u n c a te d m u ltip lie r ... 110

5.6 Icon fo r th e O M B m u ltip lie r ... I l l 5.7 S c h e m a tic d ia g ra m for th e o c ta l e n c o d e r ... 113

5.8 T im in g d ia g ra m for th e O M B m u ltip lie r ... 114

5.9 P h o to m ic r o g ra p h of th e O M B m u ltip lie r ... 115

5.10 Icon for th e d im in ish e d -1 m u ltip lie r ... 116

5.11 T im in g d ia g ra m of th e d im in is h e d -1 m u ltip lie r ... 118

5.12 F lip -flo p u se d in th e s c a n - p a th ... 119

5.13 P h o to m ic ro g rc ip h of th e d im in ish ed -1 m u ltip lie r ... 120

6.1 S a tu r a tio n c h a r a c te r is tic s ... 124

6.2 Ico n fo r th e s e c o n d -o rd e r re c u rsiv e d ig ita l f ilte r ... 126

6.3 B lo ck d ia g ra m o f th e s e c o n d -o rd e r re c u rsiv e d ig ita l f ilte r... 127

6.4 B lock d i .g r a m o f X coeffreg u n i t ... 128

(14)

6.6 B lock d ia g ra m of X sig reg u n i t ... 130

6.7 B lock d ia g ra m of Y sigreg u n i t ... 130

6.8 / n 8 X 8 ite ra tiv e m u ltip lie r t h a t in c lu d e s th e overflow d e te c tio n u n it, (a ) T h e m u ltip lie r u n it, (b ) O verflow d e te c tio n u n i t ...132

6.9 S c h e m a tic d ia g ra m of th e m a g n itu d e t r u n c a t o r ... 133

6.10 B lock d ia g ra m of t h e m a g n itu d e d e c o d e r... 134

6.11 B lock d ia g ra m of th e s a tu r a tio n - a r ith m e tic u n i t ... 135

6.12 B lock d ia g ra m of th e c o n tro lle r... 137

6.13 T im ir g d ia g ra m fo r th e se c o n d -o rd e r f ilte r ... 138

6.14 P h o to m ic ro g ra p h o f th e s e c o n d -o rd e r d ’ ita l f ilte r ... 140

6.15 Icon for th e sy sto lic P E ... 141

6.16 B lock d ia g ra m of th e sy sto lic P E ... . 142

6.17 T im in g d ia g ra m fo r th e sy sto lic P E ... 143

(15)

A b b r e v ia tio n s

XV

A C M A c c u m u la to r-m u ltip lie r

A F A A N D g a te follow ed b y a full a d d e r A H A A N D g a te follow ed b y a h a lf a d d e r A S R A N D g a te follow ed b y a sh ift re g iste r C A D C o m p u te r a id e d d esign

C M C C a n a d ia n M ic ro e le c tro n ic s C o rp o ra tio n C M O S C o m p le m e n ta ry m e ta l-o x id e se m ic o n d u c to r

C M O S 3 D L M N o rth e rn T ele co m E le c tio n ic s 3 -m icro n sin g le-p o ly silic o n , d o u b le-le v el m e ta l, p-w ell C M O S p rocess

C M O S 4 S N o rth e rn T ele co m E le c tro n ic s 1.2-m icron d o u b le -p o ly silic o n , d o u b le-le v el m e ta l, tw in -w ell C M O S process

C S A C a rry -sa v e a d d e r C S F C a sc a d e d s e c o n d -o rd e r filte r D F T D is c re te F o u rie r tra n s f o rm D G D e p e n d e n c e g ra p h D S M D esig n scale m ic ro n F A F ull a d d e r F I F O F h rst-in -first-o u t

F N T F e rm a t n u m b e r- th e o re tic tra n sfo rm G IC G e n e ra liz e d b n m itta n c e co n v e rto r IC I n te g r a te d c iiv m t

L S B L e a st sig n ifican t b it M A C M u ltip lie r-a c c u m u la to r M -D M u ltid im e n sio n a l M S B M o st sig n ific a n t b it

N F A N A N D g a te follow ed b y a full a d d e r N H A N A N D g a te follow ed b y a h a lf a d d e r N M M N o n -a d d itiv e m u ltip lic a tiv e m o d u le N T E N o rth e rn T ele co m E le c tro n ic s N T T N u m b e r th e o r e tic tra n s f o rm 0 1 IB O c ta l m o d ified B o o th a lg o rith m P E P ro c e ssin g e le m e n t P G A P in g rid a rra y P L A P r o g ra m m a b le logic a r r a y P S D P o w er s p e c tr a l d e n sity Q M B Q u a r te r n a r y m o d ified B o o th a lg o rith m R H A A h a lf a d d e r follow ed by a 1-bit re g iste r

(16)

R IA R e g u la r ite r a tiv e a lg o rith m RX An E X C L IJS IV E -O R g a te follow ed b y a 1 -b it re g is te r S FG S ignal flow g ra p h SR S hift re g is te r SSF S ingle se c o n d -o rd e r filter S1JF S p e e d -u p fa c to r SVD S in g u la r value d e c o m p o sitio n T M S T est m o d e selec t

V LSI V e ry -larg e -sca le in te g ra tio n W I)F W ave d ig ita l filte r

(17)

A ck n o w led g m en ts

XVll

I w ish to e x p re ss m y g r a titu d e to m y s u p e rv iso rs, D r. A. A n to n io u a n d D r. F . E l-G u ib a ly of th e D e p a rtm e n t of E le c tric a l a n d C o m p u te r E n g in e e rin g , fo r th e ir e n c o u ra g e m e n t, g u id a n c e , a n d a d v ic e d u rin g th e co u rse of th is research a n d for t h e ir h elp in th e p r e p a ra tio n of th is th esis.

T h e a s s is ta n c e re n d e re d by th e C a n a d ia n M ic ro e le c tro n ic s C o rp o ra tio n in th e f a b ric a tio n o f V L S I chip s for th is p ro je c t is fully ack n o w led g ed . I am g ra te fu l to D r. D. M . M ille r o f th e D e p a r tm e n t o f C o m p u te r S cience in th is re g a rd .

F in a n c ia l a s s is ta n c e re c e iv e d fro m D r. A. A n to n io u a n d I5r. F . E l-C u ib a ly (th ro u g h t h e N a tu r a l S cien ces a n d E n g in e e rin g R esea rch (lounc.il of C a n a d a a n d th e M ic ro n e t, N a tio n a l C e n tre s o f E x ce lle n ce C ro g ra m ) is g ra te fu lly ac know ledged.

I a m g ra te fu l t o m y p a r e n ts fo r m a k in g it p o ssib le for m e to b ec o m e w h a t I a m a n d to g et as fa r as I h av e. M y w ife, R a m a , has c o n tr ib u te d to th is th esis in m a n y in ta n g ib le w ay s for w h ich I w ish to re c o rd m y v e ry sin c e re g ra titu d e .

(18)

D e d ic a tio n

Ti*r f w ^>r irat

TT^JT yPd^'-Mrf diPd-HM

T F T F T = P T : I

TTRTcT ^HFSrf^T

W

? ?PT 5T^|'

tt

# irfwrwfo^m"

3'

T n r

c

*T^T a n ^ R ': II

(19)

C h a p te r 1

I n tr o d u c tio n

T h e in c re a sin g d e m a n d for p ro c essin g sp ee d a n d overall sy ste m p e rfo rm a n c e in m o d e rn sig n al a n d im a g e p ro c e ssin g a p p lic a tio n s n e c e s s ita te s a sp ec ia liz ed c o m ­ p u tin g tech n o lo g y . T h e a v a ila b ility of lo w -co st, h ig h -d e n sity , h ig h -sp ee d very- la rg e -sc a le in te g ra tio n (V L S I) d ev ice s a n d e m e rg in g c o m p u te r-a id e d design (C A D ) fa c ilitie s p re sa g e a m a jo r b re a k th ro u g h in th e design a n d a p p lic a tio n of m assiv ely p a r a lle l p ro c esso rs. In p a r tic u la r , V L S I m ic ro e le c tro n ic s tech n o lo g y h a s in sp ired m a n y in n o v a tiv e d esig n s in a r ra y p ro c esso r a r c h ite c tu re s . 'I'h is tr e n d has now b e c o m e a m a jo r focus o f a tt e n t io n in g o v e rn m e n ts, in d u s trie s , a n d u n iv e rsitie s. In t h e la s t d e c a d e , th e r e h as b ee n a d r a m a tic w o rld w id e g ro w th in re searc h a n d d e v e lo p m e n t on th e s y s te m a tic m a p p in g of various sig n al a n d image* p ro c essin g a p p lic a tio n s o n to V L S I a r c h ite c tu re s .

M o d e rn sig n al a n d im a g e p ro c essin g te c h n o lo g y d e p e n d s c ritic a lly o n th e d e ­ vice a n d a r c h ite c tu r a l in n o v a tio n s of th e c o m p u tin g h a rd w a re . S e q u e n tia l sy ste m s a re in a d e q u a te for re a l-tim e p ro c essin g sy ste m s; th e a d d itio n a l c o m p u ta tio n a l c a ­ p a b ility a v a ila b le th ro u g h V L S I c o n c u rre n t a rra y p ro c e sso rs will b e c o m e a n e ­ cessity . In m o s t re a l-tim e d ig ita l signal p ro c e ssin g a p p lic a tio n s , g e n e ra l-p u rp o se p a ra lle l c o m p u te r s c a n n o t offer s a tis fa c to ry p ro c e ssin g sp ee d due* to sev e re d e ­ m a n d s im p o s e d by sy ste m o v e rh e a d . T h e re fo re , s p e c ia l-p u rp o s e a rra y p ro cesso rs w ill b e c o m e th e o n ly a p p e a lin g a lte r n a tiv e . L e t us c o n sid e r a re a l-tim e a p p lic a tio n in o r d e r to s u b s t a n ti a te th e ab o v e claim . In d ig ita l v id eo p ro c essin g , it is usual to

(20)

A ssu m in g t h a t th e r e a re 24 fram es a rriv in g p e r seco n d a n d t h a t 10 o p e ra tio n s p e r p ix e l a re c a rrie d o u t, th e n u m b e r o f o p e r a tio n s p e r sec o n d to b e c a rrie d o u t w ould b e a p p r o x im a te ly 107. W ith t h e p re s e n t te c h n o lo g y for th e g e n e ra l-p u rp o s e p ro c e sso rs, it is d ifficu lt to ac h ie v e th is sp e e d d u e to th e classic m e m o ry access b o ttle n e c k p ro b le m s a n d sy s te m o v e rh e a d .

C u r r e n t p a ra lle l c o m p u te rs c a n b e p u t in to th r e e s tr u c tu r a l classes: v e c to r p ro c e sso rs, sh a re d m e m o ry s y ste m s, a n d a r r a y p ro c esso rs [ 1 ]-[2]. T h e first tw o classes b elo n g to th e g e n e ra l-p u rp o se c o m p u te r d o m a in . T h e d e v e lo p m e n t of th e s e s y s te m s re q u ire s a c o m p lic a te d d esig n of c o n tro l u n its a n d o p tim iz e d sch em es for a llo c a tio n of m a c h in e re so u rces. T h e th ir d class, h ow ever, b e lo n g s to t h e d o m a in of sp e c ia l-p u rp o se c o m p u te rs. T h e d esig n of su c h s y s te m s re q u ire s a b ro a d kno w led g e of th e re la tio n s h ip b e tw e e n p a ra lle l-c o m p u tin g h a r d w a re a n d so ftw a re s tr u c tu r e s . It is th is class of a rra y s t h a t offers a p ro m is in g s o lu tio n to m e e t re a l-tim e p ro c essin g re q u ire m e n ts .

1.1

V L S I a rra y p r o c e s s o r s

A s o lu tio n to m e e t re a l-tim e sig n al p ro c e ssin g re q u ire m e n ts is to u se sp ec ia l- p u rp o s e a rra y p ro c esso rs a n d to m a x im iz e th e p ro c e ssin g c o n c u rre n c y b y e ith e r p ip e lin e o r p a ra lle l p ro c e ssin g or b o th . A n efficient s y s te m c a n b e a c h ie v e d if t h e a rra y e n ta ils a b a la n c e d d is tr ib u tio n o f p ro c e sso r w ork lo ad w h ile o b se rv in g t h e re q u ire m e n t of d a t a lo cality , i.e ., s h o rt c o m m u n ic a tio n p a th s . T h e s e p ro p e rtie s o f load d is tr ib u tio n a n d in fo rm a tio n flow se rv e as g u id e lin e s to th e d e sig n e r o f V L S I a rra y s . O n e such sp e c ia l-p u rp o se V L S I a r ra y is th e sy sto lic a r ra y w h ich e n ta ils a m a ssiv e a m o u n t of co n c u rre n cy . In th e follow ing se c tio n w e s h a ll d iscu ss th e c o n c e p t of sy sto lic a rra y s a n d th e ir s u ita b ility as s p e c ia l-p u rp o s e c o m p u te r s for d ig ita l signal p ro c essin g a p p lic a tio n s .

(21)

1.2

S y s to lic a rra y s

A s y sto lic sy s te m c o n sists o f a set of re g u la rly in te rc o n n e c te d p ro c esso rs, each c a p a b le o f p e rfo rm in g a se t of o p e ra tio n s [3]. In a sy sto lic array , d at a flows b etw e en p ro c e sso rs in a rh y th m ic fa sh io n , p assin g th ro u g h m a n y p ro c essin g e le m e n ts ( P F s) befo re i t re tu r n s to m em o ry , m u c h as b lo o d c irc u la te s from th e h e a r t th ro u g h th e v a sc u la r sy ste m s a n d b ack to th e h e a r t. In th is fashion d a t a e x tra c te d from m e m o ry is u sed b y m a n y p ro c esso rs in a p a ra lle l a n d / o r p ip e lin e d fash io n th e re b y im p ro v in g memo* ' u tiliz a tio n . T h e m a jo r fa c to rs for a d o p tin g sy sto lic a rra y s for s p e c ia l-p u rp o s e p ro c e sso rs are:

1. S im p le a n d re g u la r d esig n

2. C o n c u rre n c y a n d local c o m m u n ic a tio n 3. S u ita b ility for c o m p u te - b o u n d a p p lic a tio n s

In in te g r a te d - c ir c u it tech n o lo g y , t h e co st of c o m p o n e n ts is d ro p p in g d r a m a t i ­ cally w h e re a s th e c o st of d esig n grow s w ith th e c o m p le x ity of th e s y ste m . S pecial- p u rp o s e s y ste m s a r e seld o m p ro d u c e d in la rg e q u a n titie s a n d in su ch cases p a rt costs a r e less im p o r t a n t th a n d esig n c o sts. A s a co n se q u e n c e , th e d esig n c o st of s p e c ia l-p u rp o s e s y s te m s m u s t b e re la tiv e ly sm a ll for th e m to be m o re a ttra c tiv e ' th a n g e n e ra l-p u rp o s e s y ste m s. M oreo v er, if a sp ec ia l-p u rp o se' s y s te m ele*sign is c o m p o se d of a few ty p e s of sim p le P E s t h a t a re u sed re p e titiv e ly w ith sim ple' in te rfa c e s , g re a t sa v in g s in te r m s of c o st c a n b e ac h ie v ed . F u rth e rm o re ', sim ple' a n d r e g u la r s y s te m s a r e lik ely to be m o d u la r a n d th e re fo re a d a p ta b le to vario u s p e rfo rm a n c e goals.

A n im p o r ta n t fa c to r in t h e sp ee d o f a c o m p u tin g sy ste m is th e use- o f c o n ­ c u rre n c y . F or s p e c ia l-p u rp o s e s y ste m s, c o n c u rre n c y d e p e n d s on th e unelerlying a lg o rith m s em p lo y e d . M assiv e p a ra lle lism c a n b e achiever! if th e a lg o rith m is fo rm u la te d su ch t h a t h ig h d e g re e s of p ip e lin in g a n d m u ltip ro c e s s in g can be in tr o ­ d u ce d .

(22)

S y sto lic a rra y s a r c d e sig n e d for c o m p u te -b o u n d p ro b le m s t h a t a re b a se d on re g u la r re c u rre n c e e q u a tio n s [4]-[10j. C o n se q u e n tly , th e y h a v e b e e n u sed in th e a re a s of d ig ita l filte rin g , im a g e a n d sp ee ch p ro c essin g , a n d m a tr ix a lg e b r a to n a m e a few a p p lic a tio n s [4]-[14]. S ev eral ty p e s o f sy sto lic a rra y s h av e b e e n p ro p o se d d e p e n d in g on th e ty p e of s tr u c tu r e em p lo y e d , e.g ., lin e a r, tr ia n g u la r o r h e x a g o n a l.

In an effo rt to o b ta in sy sto lic s tr u c tu r e s for c o m p u te -b o u n d p ro b le m s , sev ­ e ra l m a p p in g m e th o d o lo g ie s h a v e b e e n p ro p o se d to m a p a lg o rith m s d ire c tly o n to sy sto lic a rra y s to o b ta in m a x im u m c o n c u rre n c y b y u sin g p ip e lin in g a n d p a ra lle l p ro c essin g . In th e follow ing se c tio n we sh all rev iew so m e o f th e to p ic s t h a t a re re le v a n t to th is th esis.

1 .3

R e v ie w o f p r e v io u s w o rk

1.3.1 M a p p in g m e th o d o lo g ie s

M an y signal p ro c e ssin g a lg o rith m s ca n b e e x p re sse d as a s e t of ite r a tiv e s t a t e ­ m e n ts a n d such a lg o rith m s a r e c a lle d re g u la r ite r a tiv e a lg o rith m s (R IA ) [5]. T h e co m m o n c h a ra c te ris tic of m a n y of th e p ro p o se d m e th o d o lo g ie s for m a p p in g R IA s o n to ite r a tiv e a rra y s is th e u se o f a tra n s f o rm a tio n a l a p p ro a c h t h a t in v o lv es t r a n s ­ fo rm in g th e a lg o rith m d e s c rip tio n s to ite r a tiv e s ta te m e n ts t h a t a re a m e n a b le to V L S I im p le m e n ta tio n . D is tin c t tra n s f o rm a tio n a l s y s te m s fo r sy sto lic d esig n c a n be c h a ra c te riz e d by th e m a n n e r in w hich th e a lg o rith m s a r e d e s c rib e d , th e ty p e of fo rm a l m o d e ls u se d , a n d th e ty p e of tr a n s f o rm a tio n s used .

In th e m e th o d o lo g y p ro p o se d by L am a n d M o sto w [15], a n a lg o rith m o b ta in e d by so ftw a re tra n s f o rm a tio n s fro m a h ig h -lev el sp e c ific a tio n , w h ich re s u lts in seg­ m e n ts o f c o d e e x e c u te d re p e a te d ly w ith a re g u la r p a tt e r n o f d a t a ac cesses, is m a p p e d o n to a sy sto lic d esign d e sc rib e d b y a s tr u c t u r e a n d a d riv e r. T h e s t r u c ­ tu r e d e sc rib e s th e h a rd w a re P E s (w hich a re fu n c tio n a lly e q u iv a le n t to th e co d e s e g m e n ts ), in te rc o n n e c tio n s , a n d in p u t- o u tp u t p o r ts . T h e d riv e r defines d a t a s tre a m s in te rm s o f th e o rig in a l v a ria b le s in th e a lg o rith m .

(23)

In [16], ail a lg e b ra ic r e p re s e n ta tio n is d e riv e d from th e m a th e m a tic a l re p re ­ s e n ta tio n of th e a lg o rith m . T h e ca n o n ic al a lg e b ra ic r e p re s e n ta tio n c o n sists of two ex p re ssio n s of th e ty p e s (a ) v = A v + b .r, a n d (b ) // = c 7'v , w h e re x re p re se n ts th e in p u t, y re p re s e n ts th e o u tp u t , an d v re p re s e n ts in te r m e d ia te v a ria b le s. T h e m a tr ix A an d th e c o lu m n v e c to rs b a n d c re p re se n t th e d e la y s b e tw e e n th e in ­ te r m e d ia te v a ria b le s a n d each e n tr y is e ith e r 0 o r z ~k, w h e re ' is th e co m p lex v a ria b le in th e 0 tra n s f o rm d o m a in , w hich in th e tim e d o m a in re p re s e n ts a u n it d elay a n d k c o rre sp o n d s to th e n u m b e r of delay s. A lg eb raic tra n s f o rm a tio n s a re th e n a p p lie d to th is re p re s e n ta tio n . T h e re a re tw o m a jo r ty p e s o f tra n s fo rm a tio n s , n a m e ly , re tim in g a n d A’-sIow ing [16], t h a t d e te r m in e th e d is trib u t ion o f d e la y s a n d th e la te n c y p e rio d s of th e sy sto lic array . In th is m e th o d , v e c to r v is tra n s fo rm e d to a v e c to r u = D v , w h e re th e m a tr ix D is alw ay s a d iag o n al m a tr ix w hose d ia g ­ o n al e le m e n ts a r e th e d elay s. B eca u se o f th is, th e n u m b e r of p o ssib le st ru c tu re s t h a t c a n b e o b ta in e d is lim ite d .

In t h e m e th o d p ro p o se d by M oldovan [6]-[7], an a lg e b ra ic m odel of i,iie alg o ­ r ith m is d eriv e d fro m a se t o f re c u rre n c e re la tio n s , s im ila r to th o s e used in so ftw a re c o m p ile rs. T h is m o d e l c o n sists o f a s tr u c tu r e d s e t of in d e x e d c o m p u ta tio n a l sp ac e w h ere e a c h n o d e re p re s e n ts a se t of c o m p u ta tio n s . T h e a lg e b ra ic re p re s e n ta tio n of th e a lg o rith m is th e n tra n s f o rm e d b y local a n d g lo b al tra n s f o rm a tio n s , b o ­ cal tra n s f o rm a tio n s are u se d to re w rite c o m p u ta tio n s t h a t a re m a p p e d in to th e f u n c tio n a l an d s tr u c t u r a l sp e c ific a tio n s of th e P E s o f th e sy sto lic a r c h ite c tu re . G lo b al tr a n s f o rm a tio n s , c o m p o se d o f tim e a n d sp ac e tra n s f o rm a tio n s , a r e used to r e s tr u c tu r e t h e a lg o rith m . T h e y a re chosen in su ch a way t h a t the* n ew alg o ­ r ith m h a s a set o f d e p e n d e n c ie s t h a t a re a m e n a b le to V L S I im p le m e n ta tio n . T im e tra n s f o rm a tio n s d e te r m in e th e e x e c u tio n tim e o f th e a lg o rith m a n d th e tim in g for d a ta c o m m u n ic a tio n s . S p a c e tra n s f o rm a tio n s d e te r m in e th e in te rc o n n e c tio n s an d th e d ire c tio n s o f d a t a flow.

A n e x te n s io n t o th e w o rk by M oldovan was c a rrie d o u t by M ira n k e r a n d W in ­ k ler [8]. In th is m e th o d a n a lg o rith m is re p re s e n te d as e ith e r a m a th e m a tic a l

(24)

ex p re ssio n or a cy c lic -lo o p p ro g ra m . T h e m a th e m a tic a l ex p re ssio n s a r e re w rit­ te n u s in g th e p ro p e rtie s o f th e o p e r a to rs in an ad h o c m a n n e r . T h e o r e tic a lly th is m e th o d c a n b e a p p lie d to a n y a lg o rith m a lth o u g h a s y s te m a tic d e sig n seem s p o ssib le o n ly for th o se a lg o rith m s d e sc rib e d b y p ro g ra m s w ith loops.

In th e m e th o d d e s c rib e d by C a p e llo a n d S te ig litz [9], s t a r ti n g fro m a set of re c u rre n c e e q u a tio n s d e sc rib in g t h e a lg o rith m , a c a n o n ic a l re p re s e n ta tio n is o b ­ ta in e d by a d jo in in g an in d e x re p re s e n tin g tim e to th e d e fin itio n of re c u rre n c e . E ach in d e x is a s s o c ia te d w ith a d im e n sio n of a g e o m e tric sp a c e , w h e re e a c h p o in t c o rre sp o n d s to a tu p le of indices on w hich a se t re c u rre n c e s is defin ed . To e a c h such p o in t, a s e t o f c o m p u ta tio n s is a sso c ia te d , a n d its im p le m e n ta tio n is left u n ­ sp ec ifie d . T h o se c o m p u ta tio n s a re m a p p e d d ire c tly in to fu n c tio n a l sp e c ific a tio n s o f th e P E s in t h e sy sto lic a r c h ite c tu re . F ro m th e g e o m e tric r e p r e s e n ta tio n in co n ­ ju n c tio n w it! a n o rd e rin g ru le, th e topology, th e size of th e a r c h ite c tu r e , an d th e tim in g a r e d e riv e d s y s te m a tic a lly . B y s e le c tin g d iffe re n t g e o m e tric tra n s f o rm a ­ tio n s, d is tin c t re p re s e n ta tio n s an d th e ir c o rre sp o n d in g a r c h ite c tu r e s a r e deriv ed .

In [4], a sig n a l flow g ra p h (S F G ) re p re s e n tin g an a lg o rith m is first d eriv e d . T h e n o d e s of th e SFG c o rre sp o n d to th e fu n c tio n a l d e s c rip tio n o f th e P E s of t h e a r c h ite c tu re . L o c a liz a tio n ru les a re th e n a p p lie d to d e riv e a re g u la r a n d te m p o ra lly lo calize d S F G . T h e lo c a liz a tio n p ro c e d u re c o n sists of se le c tin g c u t-s e ts of t h e S F G a n d re a llo c a tin g sc a le d delay s to edges le a v in g a n d e n te r in g each c u t-s e t in su ch a w ay th a t a t le a st o n e u n it o f tim e is allow ed fo r c o m m u n ic a tin g a sig n al b e tw e e n tw o n o d e s. D e la y s are c o m b in e d w ith o p e ra tio n a l m o d u le s t o o b ta in a full d e s c rip tio n o f th e o p e r a tio n o f a b asic sy sto lic m o d u le . T h e re s u ltin g S F G can b e m a p p e d d ire c tly o n to a sy sto lic a r ra y b y m a p p in g b a sic m o d u le s in to P E s a n d ed g e s in to in te rc o n n e c tio n s . T im in g a n d d a t a m o v e m e n ts a re d e riv e d fro m th e b a sic m o d u le s d u e to t h e lo calize d s p a tia l a n d te m p o ra l c h a r a c te ris tic s of t h e S F G .

Q u in to n [10] p ro p o se d a m e th o d b ase d on ex p re ssin g a p ro b le m a s a set o f u n ifo rm re c u rre n c e e q u a tio n s over a d o m a in c o n sistin g o f a s e t o f in d e x p o in ts.

(25)

In th is m e th o d , g iven a s y ste m o f n u n ifo rm re c u rre n c e e q u a tio n s defin ed o ver som e d o m a in D € Z M a n d w ith so m e c h a ra c te ris tic d e p e n d e n c y v ec to rs, a tim in g fu n c tio n t h a t m a p s p o in ts o f D o n to tim e is fo u n d . T h is re q u ire s th e id e n tific a tio n of a c o n v e x sp ac e o f fe asib le so lu tio n s fro m w h ic h o n e c a n b e ch osen h e u rist ically. S uch a sp a c e c a n b e fo u n d fro m th e k n o w led g e o f th e d e p e n d e n c y v ec to rs a n d I) ( D c a n b e th o u g h t of as th e in d ex s e t of th e re c u rre n c e s ). N e x t, an allo c a tio n fu n c tio n is ch o sen , w h ich p ro je c ts D alo n g so m e ch o se n d ire c tio n su ch that, tw o p o in ts in D w ith t h e sa m e im a g e u n d e r th e tim in g fu n c tio n d o n o t m a p o n to th e sam e p o in t in sp ac e. O n ce th e tim in g a n d a llo c a tio n fu n c tio n s a re k now n, th e sy sto lic a r ra y c a n b e s y s te m a tic a lly g e n e ra te d .

In t h e m e th o d a d v a n c e d by C o h en [17], s t a r ti n g fro m a m a th e m a tic a l e x p re s ­ sion in v o lv in g s u b s c rip te d v aria b les, a new e x p re ssio n , w h e re a w ell-defined sh ift o p e r a to r is u se d to m o d el d is p la c e m e n ts in tim e o r sh ifts in sp ac e, is d eriv e d . S y m b o lic m a n ip u la tio n is u se d to tra n s f o rm th e d e riv e d m a th e m a tic a l ex p ressio n in to e q u iv a le n t on es by u sin g th e p ro p e rtie s of th e sh ift a n d fu n c tio n a l o p e ra to rs in th e ex p re ssio n .

In m a n y of th e a p p ro a c h e s u sin g m a tr ix tra n s f o r m a tio n s , th e n u m b e r of s tr u c ­ tu re s p o ssib le is lim ite d b e c a u se of th e re s tr ic tio n s in th e n u m b e r o f fe asib le tr a n s ­ fo rm a tio n s . M o reo v er, e sp e c ia lly in d ig ita l filte rin g , th e c o m p le x ity o f th e tr a n s ­ fo r m a tio n a l a p p ro a c h in cre ases as th e d im e n sio n o f th e filte r in c re a se s. T h e in d ex sp ac e fo r a o n e -d im e n sio n a l (1-D ) filte r is tw o -d im e n sio n a l (2 -D ) a n d t h a t of a 2-D filte r is fo u r-d im e n sio n a l (4-D ) a n d so o n . A s th e d im e n sio n of th e filter in ­ creases, firstly , it m a y be d ifficu lt to o b ta in a m a tr ix r e p re s e n ta tio n of th e p ro b le m a n d , sec o n d ly , th e sc h e d u lin g a n d p ro je c tio n v e c to rs u sin g th e S F G m e th o d , for in s ta n c e , b e c o m e c o m p lic a te d .

In t h i s th e sis, w e d e sc rib e a m e th o d for m a p p in g 1-D a n d m u ltid im e n sio n a l (M -D ) d ig ita l filte r a lg o rith m s o n to sy sto lic a r c h ite c tu r e s u sin g th e z -d o m a in a p ­ p ro a ch . T h is m e th o d is m o re g e n e ra l th a n th e o n e m e n tio n e d in [17] a n d e a sie r th a n m a n y of th e m e th o d s h a t u se t h e tra n s f o rm a tio n a l a p p ro a c h m e n tio n e d

(26)

above. A ny filte r a lg o rith m is first tra n s fo rm e d in to its c o rre sp o n d in g 2-d o m a in e q u iv a le n t. D ifferen t s tr u c tu r e s a re o b ta in e d by re o rd e rin g th e s u m m a tio n s a n d delay s involved in th e filte i a lg o rith m th e re b y c irc u m v e n tin g th e u se of m a tr ix tra n s fo rm a tio n . T h e m e th o d m e n tio n e d in th is th e s is c a n b e a p p lie d to o b ta in m a n y a d v a n ta g e o u s s tr u c tu r e s t h a t can sa tisfy a se t of d e s ira b le o r p re s e t c r ite r ia such as laten c y , lo cality , a n d m o d u la rity .

1.3.2

M u ltip lie r d esig n

In c o n sid e rin g th e d esign of an y a rra y p ro c e sso r, it is i m p o r ta n t to co n sid e r th e design o f th e P E s invo lv ed . T h e m o st im p o r ta n t o p e r a tio n in a n y P E is m u ltip li­ c a tio n . C u rre n tly , th e m u ltip lie r a r e a a n d tim e a r e s till th e d o m in a n t fa c to rs in d e te rm in in g th e size an d sp e e d of o p e ra tio n of th e sy s te m . In th e d esig n of m u lti­ pliers d iscu ssed in th e l i t e r a t u r e [18]-[25], a lo t of effo rt h as b e e n d ire c te d to w a rd s in c re a sin g th e sp e e d of o p e r a tio n a n d d e c re a sin g th e a r e a b y u sin g th e a d v a n ta g e s of V L S I te c h n o lo g y in te r m s of in c re a se d d ev ice d e n s ity a n d f a s te r sw itch in g . H ow ever, ii m u ltip lic a tio n a lg o rith m s a re d esig n ed su ch t h a t th e n u m b e r of o p e r­ a tio n s re q u ire d t o p ro d u c e th e d esire d re s u lt is le d u c e d th e n , to g e th e r w ;th th e ad v an t ages of V L S I tech n o lo g y a g re a t re d u c tio n in a r e a a n d in c re a se in sp ee d o f o p e ra t ion can b e a c h ie v ed sim u lta n e o u sly . In th is th e s is w e d e sc rib e , in a d d itio n , m u ltip lie r sch e m e s th a t a r e s u ita b le n o t o n ly in t h e a r c h ite c tu r e s p ro p o se d h e re b u t a lso in m a n y o th e r a r c h ite c tu re s u se d in sig n a l p ro c e ssin g a p p lic a tio n s .

1.3.3

D ig ita l-filte r im p le m e n ta tio n

As w as m e n tio n e d e a rlie r, th e re s id f of a d v a n c e s in V L S I fa b ric a tio n te c h n o lo g y has b ro u g h t a b o u t a d r a m a tic re d u c tio n in th e c o st of in fo rm a tio n p ro c e ssin g . O ne a re a in w h ich th is effect is m o st p ro n o u n c e d is th e field o f re a l-tim e sig n al p ro cessin g . In th is a re a th e c o n tin u o u s flow o f d a t a in c o n ju n c tio n w ith th e c o m p le x ity of m a n y o f th e a lg o rith m s im p o ses sev e re c o m p u ta tio n a l d e m a n d s t h a t often c a n n o t b e satisfied by g e n e ra l-p u rp o se m a c h in e s o r c o m p o n e n ts . S a m p le

(27)

9

ra te s d e p e n d on th e a p p lic a tio n , ra n g in g fro m a r o u n d 8 kH z for speech sy ste m s to te n s a n d h u n d re d s of M H z for re a l-tim e ra d a r p ro cesso rs.

T h e s e d e m a n d s can b e m e t in p rin c ip le by new sy ste m a r c h ite c tu re s w hich ex p lo it so m e of th e p o te n tia l c o n c u rre n c y t h a t is in h e re n t in th e u n d e rly in g a l­ g o rith m s . V L S I te c h n o lo g y offers th e p o te n tia l to im p le m e n t su ch a rc h ite c tu re s . T h ro u g h th is te c h n o lo g y w e e x p e c t to see th e im p le m e n ta tio n of pow erful re a l-tim e signal p ro c e ssin g a lg o rith m s t h a t p re v io u sly h av e b e e n only of th e o re tic a l in te re st. H ow ever, th e v e ry a d v a n c e s in d e v ic e tech n o lo g y t h a t cau sed th is re v o lu tio n also b rin g a n ew c h a lle n g e to p ro d u c t d e v e lo p m e n t of V L S I sy s te m s . W ith o u t a d ­ vances in design m e th o d o lo g y a n d to o ls, m a n u fa c tu rin g c a p a b ility an d a lg o rith m d e v e lo p m e n t w ill fa r e x c e e d o u r c a p a c ity fo r sy ste m design [26].

D e sig n of h ig h sa m p le r a te n o n re c u rsiv e filters h a s re ceiv ed c o n sid e ra b le in te r ­ est in t h e la s t tw o d e c a d e s, b o th in th e c o n te x t of b it-p a ra lle l [27]-[28] as well as b it-s e ria l im p le m e n ta tio n s [29]-[31]. T h ro u g h b it-p a ra lle l d esig n s, im p le m e n ta tio n of h ig h sa n p le r a te n o n re c u rsiv e filte r ch ip s ru n n in g u p to 300 M H z has b ec o m e po ssib le. O n t h e o th e r h a n d , h ig h s a m p le r a te re c u rsiv e filters h av e n o t received m u ch a tt e n ti o n d u e to in te r n a l re c u rsio n o r lo o p in g t h a t n e g a te s th e p o ssib il­ ity of p ip e lin in g . P a s t effo rts on h ig h -sp e e d re c u rsiv e filte r s tr u c tu r e s h av e been b a se d o n blo ck filte r s tr u c tu r e s , w h e re a b lo ck of in p u ts is p ro c e sse d to g e n e ra te a b lo ck o f o u tp u ts , a n d th e signals a re p ro c e sse d in n o n -o v e rla p p in g b locks [32]. A lth o u g h m a n y b lo c k re c u rsiv e filte r s tr u c tu r e s e x is te d for a lo n g tim e , th e y w ere q u ite c o m p le x to im p le m e n t. W ave d ig ita l filters (W D F s ) , a class of re cu rsiv e d ig ita l filte rs t h a t a re closely re la te d to classica l filte r n e tw o rk s, have received c o n sid e ra b le in te r e s t sin ce th e s e s tr u c tu r e s e x h ib it a d e s ira b le p ro p e rty t h a t th e fre q u e n c y re sp o n se of th e s e filters is less se n sitiv e to coefficient v a ria tio n [33] an d c o n se q u e n tly v a rio u s a p p ro a c h e s to d ire c t V L S I im p le m e n ta tio n of W D F s have b ee n le p o r te d [34]-[36]. T h o u g h th e W D F s a re v e ry ea sily a m e n a b le to V LSI im p le m e n ta tio n , t h e y c a n n o t b e d ec o m p o se d in to m o d u la r P F s t h a t ca n b e used for a n y ty p e o f filte rin g , v iz ., low p ass, h ig h p a ss, e tc . In o th e r w ords a iow pass

(28)

W I)F s tr u c tu r e c a n n o t b e used fo r o th e r ty p e s of filte rin g u n le ss sp e c ia l k in d s of a d a p to r s are u sed .

In th e p a s t, th e effect of lim it-c y c le o sc illa tio n s d u e to q u a n tiz a tio n h a s n o t been ta k e n in to c o n sid e ra tio n in th e im p le m e n ta tio n of re c u rsiv e , d ire c t-fo rm , d ig ita l-filte r s tr u c tu r e s . A s a co n se q u en ce , t h e a p p lic a tio n o f su c h re c u rs iv e filte rs has b e e n lim ite d . In th is th e sis w e also d iscu ss th e im p le m e n ta tio n o f sy sto lic d ig ita l-filte r s tr u c tu r e s o b ta in e d in d ire c t-fo rm t h a t is efficient in a r e a a n d in w h ic h c irc u its to c irc u m v e n t q u a n tiz a tio n a n d overflow lim it cycles a r e in c o rp o ra te d .

1.4

O u tlin e o f t h e s is

T h is th e sis is o rg a n iz e d in th re e p a r ts . T h e first p a r t, c o m p risin g C h a p te r s 2 and 3, d eals w ith th e m a p p in g o f 1-D a n d M -D d ig ita l-filte r a lg o rith m s o n to sy sto lic a rra y s. T h e seco n d p a r t, C h a p te r 4, d eals w ith fo u r d iffe re n t m u ltip lie r s tr u c tu r e s t h a t c a n b e u s e d in t h e sy sto lic a rra y s p ro p o s e d h e re , in p a r tic u la r , an d in a r c h ite c tu re s u se d in d ig ita l sig n al p ro c e ssin g , in g e n e ra l. T h e la s t p a r t , c o m p risin g C h a p te r s 5 a n d 6, d e a ls w ith t h e V L S I im p le m e n ta tio n o f so m e o f th e m u ltip lie rs d iscu ssed in C h a p te r 4 a n d so m e o f th e s e c o n d -o rd e r d ig ita l filte r s tr u c tu r e s d isc u sse d in C h a p te r 2.

In C h a p te r 2, tw o a p p ro a c h e s fo r th e m a p p in g o f d ig ita l filte r a lg o rith m s o n to h a rd w a re are d iscu ssed . O n e is b a s e d on th e S F G a n d th e o th e r on th e 2-d o m a in c h a ra c te riz a tio n o f th e filte r a lg o rith m . In th e 2-d o m a in a p p ro a c h , a n y filte r a lg o rith m is first tra n s fo rm e d in to its c o rre s p o n d in g 2-d o m a in e q u iv a le n t a n d by re o rd e rin g th e s u m m a tio n a n d d elay s in th e tra n s f o rm e d e q u a tio n , se v e ra l s tr u c tu r e s a re o b ta in e d t h a t s a tisfy th e d e sig n c r ite r ia , su c h as la te n c y , lo c a lity , an d m o d u la rity . T h e in c o n v e n ie n c e o f usin g th e S F G to o b ta in 1-D re c u rs iv e filte r s tr u c tu r e s a n d th e efficacy of u sin g th e 2-d o m a in m e th o d a r e also d iscu ssed . W e la te r u se only th e 2-d o m a in a p p ro a c h to d e riv e s y sto lic s tr u c tu r e s fo r d ig ita l filte rs th a t a r e m o d u la r w ith lo cal d a t a c o m m u n ic a tio n s .

(29)

11

s tr u c tu r e s for 2-D , 3-D , a n d M -D d ig ita l filters. All th e filter s tr u c tu r e s o b ta in e d a re m o d u la r a n d h ie ra rc h ic a l. T e c h n iq u e s to c irc u m v e n t so m e in h e re n t p ro b lem s in ra s te r-s c a n n e d im ag es, like line a n d fra m e w ra p -a ro u n d p ro b le m s, are also co n sid e re d . T h e c h a p te r co n c lu d e s w ith a c o m p a riso n of th e v ario u s s tr u c tu r e s .

In C h a p te r 4, fo u r d iffe re n t m u ltip lie rs a re d e sc rib e d . T h e first m u ltip lie r is an area-effic ie n t m u ltip lie r t h a t uses o n ly a b o u t 50% o f th e a r e a of a c o n v e n tio n a l full p a r a lle l m u ltip lie r. In m o s t sig n al p ro c e ssin g a p p lic a tio n s , o o tli th e in p u t a n d th e o u t p u t w ord le n g th s of a s y s te m a r e th e sam e. A n N x N m u ltip lie r p ro d u c es a p r o d u c t of 2 N b its , o f w h ich o n ly N b its a re used . T h e m u ltip lie r d esig n ed h ere avoids t h e use o f all th e r e d u n d a n t cells w h ich y ield th e N b its t h a t a re tr u n c a te d . A c o rre c tio n u n it is in c o r p o r a te d t h a t re d u c e s th e c o n c o m ita n t erro r.

T h e seco n d m u ltip lie r is b a s e d on t h e m o d ified o c ta l B o o th a lg o rith m . hi th is a lg o rith m , four b it-s e g m e n ts o f th e m u ltip lie r a re sc a n n e d a n d th e c o rre sp o n d in g o p e r a tio n s effected o n th e m u ltip lic a n d . In th is m e th o d , h o w ever, a n o il-triv ia l m u ltip lic a tio n o f a n u m b e r b y th r e e is p re s e n t w hich is effected as an a d d itio n of th e n u m b e r in q u e s tio n w ith a le ft-s h ifte d v ersio n of th e n u m b e r. T h is involves an e x tr a d e la y as a r e s u lt o f th is a d d itio n . In o rd e r to im p ro v e th e speed o f o p e ra tio n we a d v a n c e a m u ltip lie r b a se d o n th e o c ta l m o d ifie d B o o th a lg o rith m in w hich th e r e s u lts of t h e n o n -triv ia l o p e r a tio n a r e p r e c o m p u te d u sin g an e x te r n a l c a rry lo o k -a h e a d a d d e r th u s av o id in g th e e x t r a delay.

T h e t h ir d m u ltip lie r finds a p p lic a tio n in th e F e rm a t n u m b e r- th e o re tic tr a n s ­ form . H e re , th e n u m b e rs , w h ic h a re in te g e rs, a re re p re s e n te d in d im in is h e d -1 re p re s e n ta tio n . H ith e r to d im in ish e d -1 m u ltip lie rs h a v e used tr a n s la to r s to co n ­ v e rt n u m b e rs fro m th e ir d im in is h e d- 1 re p re s e n ta tio n to th e c o rre s p o n d in g b in a ry value. In th e m u ltip lie r p ro p o s e d , th e u se of a tr a n s la to r is c irc u m v e n te d a n d a novel te c h n iq u e to in c o r p o r a te th is o p e r a tio n o f tr a n s la tio n in th e m u ltip lie r s t r u c t u r e is d e s c rib e d . A s a c o n se q u e n c e , th e a r e a is re d u c e d a n d t h e sp eed o f o p e r a tio n of th e m u ltip lie r is in c re a se d .

(30)

coil-ju n c tio n w ith an a c c u m u la to r w h e re ea ch m u ltip lic a tio n is follow ed b y an a d d itio n of th e n u m b e r s to re d in th e a c c u m u la to r. T h e o u t p u t is o b ta in e d w h en t h e final p a ir o f n u m b e rs a re m u ltip lie d a n d a d d e d to th e re s u lt s to re d in th e a c c u m u la ­ to r. In C h a p te r 4, w e p ro p o se a s t r u c tu r e t h a t p e rfo rm s a n in n e r p ro d u c t t h a t c irc u m v e n ts th e use o f a n a c c u m u la to r th e re b y re s u ltin g in in c re a s e d s p e e d a n d re d u c e d area.

In C h a p te r 5, we d e sc rib e th e VLSI im p le m e n ta tio n o f th re e o f th e m u ltip lie r s tr u c tu r e s d isc u sse d in C h a p te r 4. T h e se ch ip s h a v e b e e n s im u la te d u sin g S IL O S a n d im p le m e n te d in 1.2/i C M 0 S 4 S technology.

In C h a p te r 6, we d e a l w ith th e V L S I im p le m e n ta tio n of a s e c o n d -o rd e r re ­ cu rsiv e filte r a n d a sin g le P E p ro p o se d in C h a p te r 2. In th e im p le m e n ta tio n of th e s e c o n d -o rd e r d ig ita l filte r, an ite r a tiv e m u ltip lie r s tr u c tu r e h a s b e e n in c o rp o ­ ra te d w hich sig n ific a n tly re d u ces th e silicon a r e a of t h e ch ip . In b o th d esig n s, viz., th e se c o n d -o rd e r d ig ita l filte r a n d th e sy sto lic P E , u n its to e lim in a te b o th q u a n tiz a tio n a n d overflow lim it cycles h av e b e e n in c o rp o r a te d . A c o m p a riso n of th e se c o n d -o rd e r filte r b u ilt as a single u n it a n d t h e s e c o n d -o rd e r filte r b u ilt u sin g a ca sc a d e o f th e P E s h a s also b ee n c a rrie d o u t in te rm s o f ro u n d o ff noise a n d a r e a

x tim e co m p lex ity .

(31)

C h a p te r 2

M a p p in g m e th o d o lo g y for

o n e -d im e n sio n a l d ig ita l filte r s

2 .1

I n tr o d u c t io n

A s h a s b e e n m e n tio n e d e a rlie r, th e re a r e sev eral m a p p in g m e th o d o lo g ie s for th e m a p p in g of d ig ita l- filte r a lg o rith m s o n to h a rd w a re . H ow ever, we h av e chosen th e sig n a l flow g ra p h (S F G ) a p p ro a c h to c o m p a re o u r a p p ro a c h w ith sin ce it in v o lv es m a tr ix tra n s f o rm a tio n s t h a t a r e ty p ic a l of m o st o f th e o th e r a p p ro a c h e s. In a d d itio n , t h e S F G serv es as a to o l for d a t a flow a n a ly sis of th e u n d e rly in g a lg o rith m .

In th is c h a p te r , se v e ra l sy sto lic a rc h ite c tu re s for 1-D n o n re c u rsiv e an d recursive* d ig ita l filte rs u s in g th e S F G a n d 2-d o m a in a p p ro a c h e s a re d e riv e d . It is show n t h a t th e S F G a p p ro a c h is n o t effectiv e in th e sense t h a t it lack s th e v e r s a tility o f th e 2- d o m a in a p p ro a c h . As a p a r tic u la r a p p lic a tio n o f th e 2-d o m a in a p p ro a c h , sy sto lic s tr u c tu r e s s u ita b le for s e c o n d -o rd e r d ig ita l filte rs, d e c im a to rs , a n d in te rp o la to rs a r e d e riv e d .

2 .2

S ig n a l flo w g r a p h a p p r o a c h

P a ra lle l im p le m e n ta tio n s o f a n a lg o rith m ca n b e o b ta in e d u sin g tw o a p p ro a c h e s v iz ., v e c to riz in g a se q u e n tia l a lg o rith m an d u sin g re c u rsiv e e q u a tio n s an d s in ­

(32)

gle a s sig n m e n t co d es. V e c to riz in g c o m p ilers p ro c ess a so u rc e c o d e w r itte n as a s e q u e n tia l code to g e n e ra te m a c h in e in s tru c tio n s t h a t c a n b e e x e c u te d in p a r a l­ lel. H ow ever, a v e c to riz in g c o m p ile r do es n o t re w rite t h e so u rc e co d e to u tiliz e th e in h e re n t c o n c u rre n t p a ra lle lism . T h e r e a re la n g u a g e s like O C C A M [4] d ev e l­ o p ed fo r p a ra lle l m a c h in e s t h a t d e sc rib e a c o n c u rre n t c o m p u tin g s y s te m as a se t o f in d e p e n d e n t pro cesses t h a t u se lo cally d efin e d v a ria b le s a n d c o m m u n ic a te v ia p re d efin ed c h a n n e ls. H ow ever, to o ls a re n e e d e d t o d efine th e c o n c u rre n c y a n d p a r ­ allelism w ith in a n a lg o rith m b efo re co d in g it u sin g la n g u a g e s d e sig n e d fo r p a ra lle l m ach in e s.

D e p e n d e n c e g ra p h s (D C s ) a n d S F G s a r e to o ls t h a t d e s c rib e t h e d a t a flow in a n a lg o rith m w hich allow th e h a rd w a re d e sig n e r to s tu d y a n y u n d e rly in g p a ra lle lis m . A D C e x h ib its th e p a ra lle lism in a n a lg o rith m in th e fo rm of a re g u la rly r e p e a tin g p a tte r n of d a t a flow. T h ro u g h clev e r m a n ip u la tio n o f th e d a ta -flo w d ire c tio n s , an S F G is d e riv e d th a t c a n b e u sed to o b ta in a p a r a lle l h a r d w a re s t r u c t u r e to im p le m e n t th e a lg o rith m .

B efo re we d iscu ss D G s a n d S F G s, le t us e x a m in e t h e c o n c e p t o f sin g le a ssig n ­ m e n t co d e [4]. C o n sid e r th e F O R T R A N co d e fo r a m a tr ix - v e c to r m u ltip lic a tio n

c = A b g iven by D O 10 N = 1,4 C (N ) = 0.0 D O 10 K = 1,4 C (N ) = C (N ) + A (.N ,K ) * B(I<) 10 C O N T IN U E

I t c a n b e seen t h a t C (N ) is o v e rw ritte n m a n y tim e s to sa v e s to ra g e sp ac e. M oreover, C (N ) is e v a lu a te d a f te r C ( N - l) is e v a lu a te d . If su ch a co d e w e re to b e im p le m e n te d in h a rd w a re , it w ould re s u lt in a d esig n t h a t is in effic ien t in sp ee d . A lg o rith m s c a n b e d e sc rib e d in su ch a w ay t h a t e a c h v a ria b le is a ssig n e d o n ly o n e v alu e d u rin g th e e x e c u tio n . T h is d e s c rip tio n is said to b e in sin g le a s s ig n m e n t code fo rm . T h e ab o v e F O R T R A N co d e ca n b e w r itte n in th e sin g le a s s ig n m e n t code fo rm as

Referenties

GERELATEERDE DOCUMENTEN

The Product 2 samples which were removed from their blisters revealed that a polymorphic transition occurred after 6 months, when traces of mebendazole polymorph A were detected

Publisher’s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please check the document version of this publication:.. • A submitted manuscript is

Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of

outomatisasie kan beskou word as een van die beter tegnieke om produktiwiteit te verbeter en weI om dievolgende rede: AIle prosesse het 'n graad van veranderlikheid wat in meeste

Ham wordt voor het eerst vermeld in 784 en zou afkomstig zijn van het Germaanse &#34;hamma&#34;, wat zou wijzen op een landtong uitspringend in overstromingsgebied. Oostham

While some research exists on access to health services for individuals with disability in low-income countries [ 8 ], a recent review of research priorities for health of people

Privaatbanke is nie net meer op die volhouding van ’n individu se welvaart toegespits nie, maar hulle probeer ook opkomende miljoenêrs werf.. Daarvoor spits hulle hul toe

Since 2004, he has been the head of the Electronic Devices division, conducting research on integrated circuits and systems with special focus on efficient wireless